From 71a6ddcf3b4a216b5139d2d15b29eb171ddef084 Mon Sep 17 00:00:00 2001 From: Greek Date: Sun, 28 Mar 2021 14:11:15 +0200 Subject: [PATCH] Add BIAS Configuration --- overflow_issue.png | Bin 0 -> 63805 bytes src/feedback_loop.vhd | 11 +++++------ src/typedef_package.vhd | 2 ++ 3 files changed, 7 insertions(+), 6 deletions(-) create mode 100644 overflow_issue.png diff --git a/overflow_issue.png b/overflow_issue.png new file mode 100644 index 0000000000000000000000000000000000000000..bc5363d31fdfa50be6e404fee2508b1eff648d33 GIT binary patch literal 63805 zcmZ6y1zc2J*FJoPlopYa20`iWl#~)sx&|brySo(x6zPVy4JPctG`mf!+wGd005riOWD@|fEfe;sI3n$KuM8S zF)Db$a(b!f3IO;$e_xP9R(uLji0P)NDu=m-`UDq3$Na(aGE8ot3p4P)0B$0!s1!k-Auz{%uO@ z_Rih{;6Eq80>8of`^_6iOE*tb7Yo41>HcqXoPRoh=W1qW3O02C8ep|?p!mUGv5u*W z!#f8n07kJM3Cb}4z2Du%%mO&Q58eKsW-^ZU_7)Cqz}Zkz2Pnh%+f4JFo1FzvwD;>7 z0MG)8vM)5fGIkccy@)37Fb)I@zC4Z(%fzNf_Pu%`3kjx=rgcJ>(?mX_mqy>ML&|Yt zzvf_}*D2C|A@#U5h;;1(PBhzh&JR-X*zaE^zkd-3mL3hc{Jm_9!6h zS*AIU@P;{0rpmhLk}EC_DTiB7)PEgHb*9}BbcT&Gvj24yjOWTl12A#`BgnfHKdg-V zzrK~tHxBQ5*XXrgB*CslIsotx{@+8xI^1_;`nyGRNyyvlK9jw{TZrI){btnj=`T;= zvE;lMKmCodXSV$l->?C=fKjuXhGx}l4)_13CN?51kj*x|?WJgB+}F^jc~DR*>SH%l_=%3fkF zRI?+{Fb55wiYofrLHcA+(VE)JesGrm2sPu;@Ya_q8kxB(-(?TBS3U+9u-qRsNlc+3Ble2r%N%xL%HZ(;n1u`R@p&OBEYmOdZsdM59~Qkds8qIa945 znDK6~;l5Mb_U$R=fG&}SXYO9LY9nqANf5jR0r?nQiv#2W`I(*JeILi(G+1h<-b2}5MpX?a6rArrdOiH=t%Jm)YFW}4Ze(ZEPzp#0X zTea1tmt*gb>|)Lt=dyCTh%`;%lPoxJ|4GIdNZ4$ORTw80E>VGNs?k?vK;pQ3WP@6x zA#>2@XL1#(?9{aTaN;wYuP6!Wi1JnorS*--ib%Q4XU%l+nk-4Qhz=%A50VbQ3&<-f zRHoBg;G_Iqm>_i}=S&&XGDf1~e{`dk9)H%xod$S&UH_{#^WRy{r=r{3vS-;ieSMyY z@wxYf38TeJj38DOjw`w}UW>qL@0H8;iDp#Yx@)#(aePB*hFWXiXIpok6daNgXvu*_ zvCRakE*$3<^h5rw2Xdh9oCVJP+)bq094{q)eWoIPTo0Vtb=4aiaX+4`_WI3yqSv%U zPWH+m>D2^gU3Am;Ch}yci?)#?HNDVo!M{7@U zhI}<4?Jv9hm}8ZVBa=GH`YU`5RMy1?VsIX$?Ydl$C*+10V>e%er_Z)M0N7Wkn#ouK z0jWoCE2U!B5rZVG*zB9rOx_+`64zB?se!og-bvdy)FNrObok!~(GK2*FD4aBA5pF&ngvOhzM*gI~Rg4k`QSn=7|W)}HKH+0AVAoJ7jM zcGC@ITLQxIIF@v0r{dD0Y>pRAW=FX!i(yHnrXrv#j5{7#(Fz4i!`5 zd-#vtXjog%-15SfeE*%Wg7D7{*}W6y*Gg^1hLv6_CeYnOQ4FUXn*~UQ$O2I}PG~{V z+ykL{O84yIeLqTmH2){HUHR=a0Py7WNtFBwqG9_z&av9p(ZQ~@L#~LCK&o+tdg-#N z_>3~6YBQR~E!13Y>$?EA=-2A?4FU>yod=(~xr(a{I7iP{eC#W=UxFs|9~0ScLIXCm zF#n@p`dyPqY0?o_ojroh_Mn9qME^W}BDGRzhYfGU+84TScHLd!I8@ycc-`Ov!BwKB zY&Y{AotvAx0-Z(0A>4zZ-pt^3PTkanRZPs*v|5_!)>=+QC8mt;-Tz%Y>2p6@YGx-@ z>6d>`%H%Rd$-QoU{2ig3_=ZlL9PIj75~E6L0Q+uDfBWsIYL}%P9^HT4&7#;BL;j`& z$_cJLj*G1`{(Z%5Qi!;W^S0P?<$p)=pR=Zjx8?7odIZiq3T(vr{aZffl>e*+|G9*e z>SA#9A2R-T|CxpmB=(;lU+pmeUG@IwT+C%R&BdnwJLWvXSK@`N>?}F2C2pZ=I!3~e z$6JN!4-Sr>fkO*fQq( zUmu9RAH;TmKW3YsDk@tRPz7c6iWor3LPr01hV;`t9!osHmbHmML_%cVGLxh$&J3+f6Igyruv!yb_=M&shJteoC=~AlKkYaD4~lIBH$V zca`hQ3$;ymwT>V-clvNZaF598oU zR+sJ2c;nL7*r7>@#`jq97Zc)EXQi2>U7%`|lbf3mKspJ1uzZz@0&qQj+PF@Ei_z0B zI+voWYvuQ_o`BuA)kKZ7p#QrCTzVla=MSQihDE!q$je~kOhxM8?9)7{uN6-!m!F-; zYkbnibvZ#CnYYrHL4#-~El7kpk7;k(c8WlY7*ouTZU!psn~s;OS9Qi#F5BqRzI5(I z2yu<7cit+`D0vl`ZI>b~%Co+Ye6ft+A6)UwB3XMxNchPw%@T+sCf`#sT#T7Dtx_Wj@??%;8|9Br z_~Wy(_D-t}v-bNo$#AdG!ome?e|oSF5*A&?RL<}?-E6&}Z2A&o zJ3}&!bEH8h_DI!sDQ?|;Hb=iV4bq_e;lh=$!_)Ej_LIK$2>Ro%men!t=xUR8m@878 z0IdXmR9vVq-O}j=^!|#}a-?iU-}qI|6mJmQd9|eUn2w1+y6JIsHt!SM0L1(5WhrUt zkhxYgAcY4#w(AlLAG1&;HAJt*vI#wsh=|DOg{gRTf3(4Pkw)I%xqqpw?7FZ~|H=l< zwq^P3kI~?=n0ed>=_%D57dcuB<0rscr^>Rd-+a2jjW-J}d6H6*B?qkSBlba$nYZ;g z{ej6>Uceh~+%mcQE4RE#dL{uZSV83CZs93o{E~uxIj?X?weecLOsRWiaw)h!wKHJd(nOT1h!;rNsSPn1qG=;ka zoc9k47p~1Y5e=UHQ7knRIpj|G^8L(TcWR4yJIiY#W`9cmW@J3qkkMvzi(dHt*ED+x ze4-|xZFaldkbPTSKbyoo;_8@@p5;%-BKk>`21Av}Vn_~V@FYe!i)NJ6+qd|OUU?j` zG?F{6Ggqg!t2;BwA42*>IUMBsMYz3Ow}n~}-c0g-f@nBdx*>sglyT?2jBob})d=TK zEoRmfKVct*_z=lE^xE$tN zysmc}7N87yh6TYUf%!Z^==T8|zJ6yjcC9DB$Pg3jP6OB|zDh4&I-v(cXgf^+CbfvK z2hPi}49`2EYV8%T5v#w$hb_C6IorZ+Zv2L_C28dIG>%1-SVwo9O*g}; z!F~MYUEt_J)n;qwzaEyIp*+_Rr-~1pEEScEE7ein+RzA!Q4U(eH7P}%hpa*5z(p{O zeCEP55RD#F&g7RQo)d8K+f8^!d>3{$I=FhM-4u1(VW=6SiBJNY5|>t(&RVTGS(`IX z7-q$xj%s$*&IksD_=z1=eU~yf>4-ytTDhQ^wGG-wCT$yYvUgx9j9L<`b_*;EC7`#q zR01uWlbws4(C&2tn%?DdxEs!sq~7Ljw&yT2qwF37```&S zk7Any4S(&bbuzJjX@c=%2hPtXhs00VXoGmKwq`IG;Wj^w1MSDmGSOHcz)d&_KY6BM z28AcQdHt+x&+*M;G#~D>-W;l0FKWM%A8EC7YwYI>o|eE7iW>A*m}YcA^bvR^-FMG= zzdyp(8S*U2iB1n!s0$Ru>nBt(#3t}Qjq7Ir-%t#OV1a- zzG8BP>g68KD2EBi`Giey0^+VGJIQQ-bs&_vD=e!#t0z_xu_Di#t0T~por{VCP9Ut2JK@ske`nY2W{?eiLjvoGa9j$UO?wHns#sseobe_)U7BZPZj z+m$4xnW>Gg`nA21_AOP2y9z^AF6>EpH6FLHOavp}k}|BV(QiL`=z-h#&P_sF-?-~q zPq$hEv>)|V{-}6ukJOv8%CS$Ua);*YKwhlnDZnm@Or)6(kJs9$ih7<{N z)lzpgrp5*)Zkhqidu_sTi4nAi5en2(-y)xuBU0x@PK_&F=Dwab6KK8&Mr8=V?;rh! zH{ePZ#04Y7czRY6vv&&bG=w~0#T?o*#24zTz(ya=jp!P*WAVx_+(jvf$}2X z^J()GYRMBEntot9A*L4EA*0`{nt*hCj<8bH>Q!s#L%0ojO8_H}?{VaiBcC3Fp$d>tt;y?g8OYN>& z4s}Ym6I?0m8iV@u%w74d-kjr zL6W8XfbeH~lp*1P0TEx%TcgwnhI=;G56PO5?|M6-+@YQ7J4{2E8JHU`2)Ufjr6>G0 zjjEF@?e^m6k*mx?luM0;us7ilQ{hL3=V7PI-U<5J_>6ODX=`g=^76%+vJd$UnCc5F zW_E=GRa}Rp&c%LJS_T-d%?`hvNhl0B+~xqPa&F5srb&RWe?81 z{Xf_Ka3@M4Z4SIU7hPK2^ATStRKmI@Ih0_cqw7q)#5t##=UL%RJ0`tB2V|B8(>|J6 z)XZ@CoLJ*hi;*EJjJlg#Hy)CczbA$I5zfral)#5aM*46#TZ2-0t!#e1f;PMT2^ko8 zskJ+K74nT$XT=BtJ@jYsK7zknLN9%IQ`g^PZCpQlhJ7uFFk7Y{VSbZwEf!AN;)Qdk zpF2r|uqM7CZiiC)o@g`_>)(ZR=;PCAv^A4^v|KZrv@9&2wuq!e^k>R#S3LXKysZeJ z4-8|taIANGkOSBc$0ez_Z^sEGe+K55b{DcZa+ zQtR)iuTj9%`al0|SF3E)79Z*d;3K+mWCTy8Mmw)J1RbixI)_8CY;B ztlbT{*{nvWE%8XF!dT(flAy+cGe#bH4Ec0%!@RMZY{l@iJ(l-aa2(_5H`2u^zXxFy zpOPx>ym)l?i}`SP$yygrayQPuHsbS-CO!u7jm7muzNzOp&EfF)HTC~|hU_So;%Y*_xE+@L&Z&|P ze*g#r71u^*eR$p$4sBJ0-Dy25ku~?dtEbtWA$c|2xj)EGvKJ_p;`Blt_TBq99UXd+ zmujYe1{^IKv}gsdbuu#9zu~M&@dS;Jk83nI7&Y|U5HIy*v9!MT$BA5}Aj1tcH%EB} zo4Iuj*=|3yS{(9MzxuYUl;XHn-%ePH{oHytV}Ga+x0f9}W_5Z+@0Z1tfOU9ySh1HK z-3MqVW3jc?8#bZo!3P~3-&S8s8@)ch)kwH4{W4L{JL4MhVG*cZ*G<*3%j!T@Jp$F& z>rX-QSi8S(en9~k$DzD?_pWNj4#-$dDgJ{mA}UJJ9Z8^Yx;5#%(t|ZTyFHx5Zon0A z<297RE#u5o^?6^cc*mJpn#2iQ#Bl*Qt-D6ttbgtN=*u`4R#`c^Z%#w)2gB)vdU+V% zZz(a+Yud1+VB%C4*moG8vC2-S$B(D`j}N!%Y)yGmt9{DRuwU(SN-Vt~;{cObYb#_Ird#T8W*%lue>L8Tbi)`2Q zX3aX0BL|cb0^^2uX1ZB*1*WiaQ6OKrFH!Vm4&u_C)({B$Ia`W=*A;Bt5Yp? z?BGWqU(WeWu@Qa$*~=<-JlYbTu^wFA4Clc7LaRJ~3s_PXcKQOz!L`O!R;_V%@X^kK zif!kOJ;hKK_sQ>FzpvW+#rY>?-=3Ma8ox;+U&z9YnWX9dRiMnzs-yhl2ea3PGtrR? z?sHOOJ_10$Ay(eSOeLCrNxSI;VbomPDeql(?0~AzHlT^FSL6U<*>$ zAxIN6UvXj7te|7{B_OoZGr3#|e z{ECPC!@bCf#QoLR*SC`8yZ6G1^%06cAvs6G-1VW4RnjaNSv*L3iT{h0(b4(FQyN+@w|xsk}}dHg5Q-hy=4HK9KBz-is4|E)wY+fd^#(3||BAV7wT~<0= zKx`-krf&(5qMNbzhQO3UYZoJu5B(^u#qS;%Nk`H@u&dKQ{JoS52px=IV#fb$Wu$yI z3V4T;XW%&~MBkvMT2Wo3neKQ($9q8B#Vka7?6A9Y#*1fkUmRo}i)@y*fCdy7-(0Nqvp}Mn( zV|uT3JtV>S!rDp>$c8~atp&jaXqO=Kq0vPew*p}lb$?6?Y9|&L?``>7Iwtr*ilOw25VM!DXP@a!4{q7}=-EHQ@?gLk3@?8=UXJ&d?fxH-+{=Mz%M zeRb3q6R5Y}uy!?(i(hQxKN%}jwl0p&$>`u!Ry!b#m)M}sV2$76R{2w1oYV;&^+?pz zM+-bXx|6axK$rkWyRhHJE638`ASs7=$#FZ5b!y5Zf@DFhl%Y&76zO2(q2XRvL>vC) zsG0f*x-ZWH$`qUgbWa}=CVDhm0=^ier+E0Hp&db}_>rBv)m(T_S=E(S0|Ll-ef>Xd zkVt;4jNs@F^ayxQnE7@gNl(Oq{0KxBILatJG8R6>o{E~m-+$b6IcG426Ir6!4RVCJ-RdDQVISHI2G{(O&X`N zob%S3TfubO=|>FJiuavpkMHS>9;2O6-y~jN;ygnSmnsvgdX9gC{VZh-gSxG5bj~lZCm8B{ zJ{lB24(l?8X-wm}`T2=G!a?m+>g%0|_I_#f<8p4nu59c)2^>mfvmZ*FC^Is`^2pkv~4ToeN#w+nX9o+v`=rFL=9$U;C{ocG?yOyPU? z$i>ywv|-N30%trV1O>dCQpic_`_NRm0fVqG`R-gpKWMvSO|DjU3(drFVn+`I9Ok3$ zZm-Se>g~N&KMHdBU%9dARsjt1G4WJr+E!Rq9;sUTLxx-%352e5&z(#ng*hyEX+E1 z?7kugxO-_TOt4Wi#_N1=n^4e>6As4Cb!W5Ni-dF=vxdRCoteTUUQ&km<*?BmnWqvF2X2~f;7IEF}+GgRB?JYm9* zb@5&JteOhOfom0`{8rGO#Vx^u`9mnbLAL%c-UmCCa~o2zP5A+?O1C6hKDg?iy*-@E zf44@@@8d1o6>f;Bx%i&opl?jKGG0sj1}EM7=qJ_fn9DRseWQlFD&Kx+4E5C}HGI;- znDbpp`mZa?lVDk^k4WkDBKc+u|CukF7TNrEpyz@QwRx zeyV{EFddm>(}l0uhk;ZPc`yyX);t%}wS(KAYn!?qyjcb}e9iN>4p##7WicfY-_A|b`zbaS0`1D73s**RwhD4mBc~*bq z>TyW;O0m#2!od@{rKMcGc9Y2LkUc@xn{^CkS?*a2doMar4%;nUOvgyER*WG*UeUd& z5Xuk1L5yA=nD(0nGrNW6DoCwT?d6-x9&yH1y{Y}(q7FFXo;;CE74wiO&jV3O2nW=bXoJ|DkZWMX%;`~>h3mLQt)V@ zy1cv``0?Y#t5>n3`$fNho69OFn1Rs|=<2BI9Tq-}WQv-t_I=z0A>sD>JKw+1!1y<{ ze$cSF-}XGPn{Olp5vZvYm{P~FSVww3iaWT8Z1c%}&SFr9DyNJK0RF}u?WgPWVbWPh$%f0uv@yP0;=nzkZ^7X94zECMoetH0dN{z4v@=Iut<)REwvf%d7@36=84;G*?s zJDJXl>#`x{am{?8XKNa-Z7<$VU0VFj$Gv8IT{)S98%%5CNZfD{CUZiyCj!{@%C*HV zy^K_D6!>M6mryl}gEt4SxejS2$He7l#?Tn#EBZorNzLXZJph2(xAqC{nTp}gI3^~X zp!cK9h`sp!{CsNo|8N09P%+0apKYB7cV@lsr!FsSUG{)w5zyvtRzI-`ph0gQ`9dvf z+hoiy?0~Rdz_hvNCt?YsaNzn&AoxUqkt{729F z@xrjJx6+iVVukPAUG~qO1J)9Ot>Bw^_oyN-606tR%hO5sIUYkxZArG=0EYE5xWkIv#0FhLL#Xop|~5_AfR8ptmJt%FKU#eIwWSBh$sx70NAzelK>;FG@V$j|dOQ2M|%5_brmBxI3Na@Q4cy0`}fWexj6fG%9qi_NiXuewV~BRHFA6`5KC z+TF<4e>doDe z%{d{+T>&wL>~qE!E849RU;V5|xD02a^|4Pcgj^)e%)BfN2gE`LiCMZoX9iarKzlyI z5nPT)K_DZwBgnO-y-mqKqb&pbjk(s3?_cgKNl7>3sMtgHI%)B@KJW$?@Pw{;pt7G( zB8AcCYXS?h&if5!+P6;R1^^NX&b&?_y?dGWT1WAG6lM9o-HQh3O$=i*0Wk@uvY{gp zjH-jy3>X|1jLPkVlmcLjZN7(lE&I7SFz+L7K|xY5V%17iN5yHlgXNghu0F9Nw zZNFq^+D>-IQ#Y_!vZR$fBHN0@6-sA>XkmbR&^ zLR_Z}VwB>ZRNY%fJr}W_D+pKZn`ehhjis(KhJpy$5$K##fC91DPiNigqc3F?P44^D z=PWi;4S7e2xI(cRT~PGPMt`Y8|L@xFY84%i0v&HKRXlI;>T$B^*t%km68B}pGZKm> zPK{(!{M-l}__{EV?=eOqEg87}=;SCi683e`-hT^pe6wRA(1Mp#_O^!KTaD_dIWLEn zKnr_^Yj(FtYnPg@_?Wh0&Vlyu#>zTV@X@EWI(Q@ELslwm#ye=3{YI!K zd~h#n#)$d723G>L-^MOr%^NV_Be?s}qDrzg7CN=SThn4iPk$LAfKio(s%wE6xz`C5 zey^vL(6F+~3OEs9i(;*!LX$Jmcm9m$@gCS52d?aILwowgCHfB>vwM@({6Ah|CUAY1 zqgmH6c44iZlq8y1?Vtx}8hNhCmMui4DrVTSPPt?-&tLO-luc5SM)K~0VI)gD8svAu zZ0fo!Yv=?85&VT!4LtDV^MKbvjEv~2=>ol<7!*FJ8q`_`hhh>mH%IQx)I0;X%8>|T zUp$(C3%Uqg@(@Plqzk)-G+YztNOmB)lv7KPM1VQ<`Y=WKWGvO@NB$^&(Dz359q%r5 z2vfK8BcBNSPS`}6i=ON};rg-)Y->1xKAiShzqhv007r6-{d>6kN7t^f(q_B8dqblK#Z!x8=2{BI~5B=6-S#h`WH zA4!lU*Hgrmarf{+9B%h=s1-;g<3=882Qko$OTG*%R}ibH991X~SR1>jlx;oqu@ZYU zE~VwW5$G3bFgJ<)du3W`Ds|q>N_@&T2SQms<)1^1KNm-EXC?1*sUMUFG|-`D(vOLnOrmk{+rYcS?6{eY02RfN+i~n1b_*w(jTDRN1nF`X^6hUZieFBb<$bJkRtRh$X3k68Hv!nxWsgGeqnk( zDt+Cv(1CMaw}%CzD4k?s9T$wxseG0`ygvAAcy!bgJt*EYS(0depp#PnZXDzKY**&ntIR6} z6RYHCB)>_8;=RQQk~y;!yu5^VJVa;fd>@*cno4k{V1d+{Fw<%UC!~{rzCd)3WdCH> zuKVwXVmTZl?e=Uu-{F^<;)fLaVk zfh?=5dOS*JbQ$?mtk(K9^(wR?tY~)aaWbPP1%IkaW96~MO?4f~-nJikOJE6Y(@5I9 zPTsW3;=l~)R-N(MUZg4$QH=X&x5rm%@Ur@g@MXny-?_HTZz|Gw{|bj?mxfDDF)5WhS<#)WEa%rI^>+P=-{)XH29zgLe8swCNU)1(9N>j zS&6;m+{eu)NnehMOz$$$vnYprhEQg(lAdDSiSz?Wh_;%vEVe+2TZWaij$mS|q_?ol z(CU-l$z*;AryDu6Y(XQJ=qg!(?s?$w@cn`V*X*xT7gRJ?ECt$61 z)KV?XdPM(TS-_u_LrD7u>*Ied!_97k*Fka-3D5x zs*ny8x(94b_!l-Hr30n5tFgo8Jo3}0Pr8jxbXkFS-oS{;TNsGN%F3c)V`Il>XLDZa zL*4h5I%vS%uVgHx@N2u-dV7PNxrWh1$b5k^DM+;Ion)`2v}r&7UB*zbaEg(8ej(e+ zYe#%g^3d8o))yzV!yf}u8fJ3vUNZQFzhUL^X@+B@A!I#HL?XibWf<@87L4kM{hv_N zvudmJIQ{3gdN7@ep3*@UM9DW)=K}=q0PCr);sNP{ooUz8**dSwwd87_UH$oYB@w)~ zynMqzQc1r!S(&{!;Ka;J`r}#UYGudwvHU)JAE_^a2QGSc z0)Tw${OALUjC1rpCkBZd5#m(6ncy}Er=17I&ZWmh#cP_g5Wl|;(Na9xCUM(jsfkMH z2%*8|=TXDq&RTG`Vo^|%Qrqzy>IdNodP_?0n+{F$&SF(RYX1T?!3MY;j(b+`N!Uqm z_Ofr$O;q1mRzeokzZj52g~Dv0oJ{!75n06QMq)?@lxbv_O0QAKP&#Q;hcAIrPJ z0xo83V63!rscL8Jt=NL!^SdSow#9v6oIqut$C7>Jy+Nt|)oh~^H0Se=jBfVRou7_i)y6;QZn%sF}Ln9F1&X1lQVY0-#{`7*{}(mtBbUg7QG zezw_Nc+=*u-==)Ugm^+huBlds!&7k&jUT=T4M{rLx0xlNa`E|0d*Ww(9mZWun;i0v ztk#Ne7o~T}8gCqeKoEDCTm8nBCw;h=C%o=$bu?Cf4uF9B zTTfha9$61ikNt2>UR1r>RBXQ1VtX<{h#|9FFJi+VR_E`TU3k$q$>@stl$vv}@ANc- zv+|W4zRdEr$Wx}bnto!q)#qKQ7or4l- z)KO%`e6gXv1(2|aVIeRJrnn570r!YSC zk5KksK{00DMX#SxV#XC+SG=kJNYbcUfY3W!Lpi-XqjsWncpy`wIh1Wc6Jc3g(I4B* zgzHtxL>YO%=ED}S_`OCSiT4ntCHzh0_Ts1Qc0a86cTF+ffxA6-9rdf(;_W@x+Y#Nm z6)1y|3Y*3a<$h!ig=NwOH2t*CuIU|68UxBHyKHPkr_P(3)K-dr;vDn`-UmxI?8=5; zm8-|Q6HnS|b@swj8*Ah?U@TQQbWjSC9dAO7#BCr-R$rUNIAD_q_*8YN1i^=?w&3A- z4of+_;IKP0oY%@Dr?#0O>d2mn{c_BlB4|y#SMgIfxq0mo4JJ;1jE~1XnLwKJa5tWG9GTCD(Dvg3k~E|lF6JE0($!Z{K@H#_GUYd_iIVS`kuaJo`QqH z80G$nAR+kf-4kFjxm69m@;HGA(DqSxJKJHLsMx(l;{$#kgLG2`;Ou7S>HN?(eYAknd^r<^IFoWK%WqyKa#ebN ztmfBaF*Oi|G@`E@I{VDFKLz;X?4WzhTk@Gn9a|j^_=~F}4AnGJ+Xwttx zHiMuJ&h6P=ZcPqiWc049*CX=zx+(=s(zvF0ojdHjAXsq^=Lut7#4)7 zAeXx%S9{(Q0YR8Fe(LVtAX6;cfc*6vQ;_wwAQAme7{lp#pA*si?H0aJ{z`*q#|Ns< zY8T!kq|Sn)z`<7OL+W97AugRGx%T%pL8uE21H*;HlI@d&TC?N9Ss+BY3Z@S3$YJ^j z2DIRNAx|QO(S;s71IQ6}OIU?<#Yc{X=ENu@Cs1og8H^(uyQ&oaq$t%Dg?(;|h89OQ zx^V4N{X$6816~&Mg1p}Dt>z)k+K=nA^M%HU)H#>In$OA^e&@vqcgJ(xcCv&)t^g4s3c?5{JGGgR4sjvf^XBsPG@+Yqfq4z~N6Tx2t5?LLub>=}BDc`7+AK*m zqZfP2iPqC4L}fY^EAzo`gtDB8;v}c2$x{&;-+J?cwI1U0m7!|34TmV?8g$#aWmPpy zZ{^rLHJRXMT!vdE7@cplPM|CaEaA!MK7IXax3g`tPeqtAVe z6!Y8#^L)lzaLPg6q$RB(B^n7e=66aUhDuc1;})9)PlUZC5aUC05J|J$ncpisIwCJH z$0Yl+sMw)zwrBG%s|MlPaCa0+ICOUEJq?-ze&kQWQ_F!$2uLdGb3ITpGslf zFw|MJFv47$@6w77Zzf?ZnRLn+otaA&yYwiyB-cop)Vhjh8?>l z`vtMxYg)hSm+Kzc41IDj9rT`%whj@J;r%O0A)uI$f^ymhFO0 zsg09C`%|*%GjhM0jSgLBANC{4(ud?4gtydBVhH2f3w;+F+`TdS8v;Uylo5nkYf?>V zr|RGCohJO>X55pqI$c~?45bNT67V@h9i1BFUc*@*R=cqT=-%R`xl@N(8ns%j!$0}_ zj6k=IT?yPCj>4r0wwuNdy`9kw;iDAK##T;}Acwsj79r>&4IJ(%loVXOzMs>68`|Mx z4!Ncv(7m84lk=!D?u0sDqKq!>w`aZ4Z=c6C;s4_2Xt%gBbVppcI7@-OR9=UivC4Lz zGwy90dJsp2kD29vrssEiilAdhBz&eV&a(KD-#jt(Rc9`wDd2t7AkQ!gjMr*tqNK<` zTM_>bh0z<=Q);GA!onFu=Y%8HU|H-3i^^M}Q$Aq9 z#)X&VxVoT>KjXDf=i zMqL*S%C#wP%ai6@7+-93CX9I>zYlIR-u{Ts5QpJ}1p2L`0~F3wF9E8hxa7oe1^6 zE4>>lOPv`IN6yPMp-M|nk1bp}iQPZQmOWZ(3Nqgt<_JkhFo5UK#ThxXfPnVVYVS3$ z4n(TKVF86jCRS=I-qpSR^Nw?8Z_J@hy5{;HXS;7Y5glox?X_P@>#?Nc5p$Y&DC>3vc{s@p%NyQ;6E5Dz#ALzi3 zh-v1?g2;NTwI#vIiUoZod%z1MXMA-)AtcmzpCd4^4R1SNj0U+KM#nD7(dy?>W0g=z zkTMlsiwAlX(WA%K7*(I&DOP;bNgv#tl%I3B18Y2f|6({`JwPzlYC9w@rhNJAYS-``zEQLDnXr#&a$J ztU1&S;b#3DHPB+R%h1HhL{HBj;;sSJyOv5e5{Jf%Nn&%omxm7U&HY*dz|Nno~~cVBairPf=l%sG(6WY34f? zXD=>^z$(nn{iiIfjqGo#oDA~5N6hhoPd2ko0<0%OWo56Bcc)W*qhN`d5_Z5d4ZTkL z)R6GGCyD&?h3})H9PlE-aY#iX@F*hWqDf4rt4zQC<)i-ii|?zX3*a#&Fi)s{%C2#EyL=s zf*?5ad-;z~h{0#V`1R}8JT>O^eZbmO+veP50Ae*?4o)a&m$QR-#;zONNQi1wX>ikx zf$QHYY8*uo$@$sR=2u@nI{fEBR>VtHRrkqH@2Y9NM_&zZjfFf6JDKP7NvAn-!9nd< z&g@efLC`why^s2P4y+-|GzM1U0D$R1^ z{qKx_5^Q#d*mIHb4X0pz(LB&$?RxJU+KKcy9`bmTSB4SzzLsk>@AB^xw>}ia2M*T1 zkVjDnJXFL<7S{>l8!k~1uHc~P zTgRv0rgjD&;Qm4x@ejT&%r{c)W{&gh0oIl-{&kN z^vzcX%!TjkoOV)bZG*xn5O8T zZAQF^BUB9hzJYHoW?Lsu0jd3VRLutG`LN=Y4s%A6n4X_`3ZHy#o`c=QQme>sy*JnW zH9l6SvmdlI_UreL1c(qX6$PJlP`&+Jb5?X*93tNk^bcU}UKP$xb1X90-&)a}@oT{D zRmh}G_z&~X8EM0cUmf1QkLR{Ym{V0IrnX!2I2MFr2`}BMraeV&{zR)BpRH-W$Y24X z^`~%jb&!_daD>7PCe}A!bNtVLuA%tN0HbP*>bubPlsQi)mqz82Xfh@e^kDJO4*g@2 zgGrXLav{`<3Ca_`bW@Jj(~Rzi?1|#wKW_FrzCA@m9Lu>I<1LJr6HINq9l8)aTr^na zHv6e$cjb+TLEztMOV0aERT`W?G8)mxp^@!Ds*;tR>HAEky+;LPyv$e$i&5PZYNTev zgTy6sGY5N2RWtTgdOX#(w>Bxm8eW&Do)0IhAZ!8*G44-RJ_X32l*r($a76Yl%=_#i z*hr#tV{{zXKt_W|m5c3C@WCsyPniiDya%Q3Bu+UhftLYsR5os3jFRI2u!8)_Z_$EEm^ohie7vyFdExtRhlKClXQX>c*bnn0Hd3kT zV*SNI9JCPmo&Vti4PFCL2raRMV0@y2OE^BW80>!)@BmB zTwl0`gjK8L_nFW`c7uAi7V!fZs`bqGg66KH?-1Y<@gU|rM-w>Br45+D$JH`d=*CY^ zJ(sA(;R_cY3VLfFc!O>~qN^0B<&eXq^P5rkJY7w_?w z)WhL|Mj}&$x@F2QcqsZ^ia0+$#gx5NLZ9kbt@9fYTgID;_mNK816iqt<1sNYLGXoE z@Bhc%dk00aeSd%rCzGIcFH$ zwcb`Jq_X~eAFgT#1Sa;Qqy(ANnbc4Br=OtLMnAC}3MgP&vD-s~R-hzgiNt4!db*BS z3DDP^h{b#|UEv9+W*w98uy}?n}2on@1yNpwi6-Y+6JM;`6^9 zF81UY>%3LXNlS_R{JmEBWY+K}t~XQB?B~|qn92GpMwq1>`+Mq3nLb~9AvNhs*lvZS zvhS1sQ1#{k4|9ukqiwtMgHzG^!`Z8qs;b%REeFp9?HBYm|R3XT0 zD%(@Ey~3r~eCS1T3|0T580nnb&yI+tWmjacZjoLTBzM?col<>$>#)|hGOc{W;J~01 z*s0U!>Xo@u97kt=zAjV6^+h3GkEM7%mfIC zXCvsU9duPs#oZxu4)nhFIDqVFEfQF60Res2759zO?5aXNuv`dI^B;Ngt}E&rfC!<9 z0%WYI%i&^f^Jm%3<~|L0x_F;ncJQKUP)vCAQkfvT9iIo(F>4hX;Ke4iC>6TQ_{wBB zny%b)uXquEtZ+#v-N4n|rP_P<;pNQBpFx3lP;_tVwRZIb(N>K%5Ilpj&pgQzfAhk+ z>9&RD+wra97vKq22;Q9=85!vao)ZDDMOnyHNEj~-edT=k7I<^QR4ieYDzxc`mToUd#P5?jdxH4XEYEDTjLW`@RepYit$#i;hg;v;{+}+OIoi$l4{usxhmzGMJ z19CNr0x9{7JoI6CULnHRFXPF=FYWBZvgnE7aWb$;#Cx4BoJl(PCF|qS-J*Kao8SR& zc6s%$JLeu3A3J6M+1^OX=GHppSV1@U6}w5d=u_vM$bBf_%;`$#@Pc`q%~p&4;`CC* zIF8r#rZE&rhfeu8YQdSvOICi?1PCzxA7@>5qpbnL*Mq$v-+A86-{5}{dZhzh4W$aj%FmQqSMneb$x| zb_YrjVhH^XeM(+Rs$02A-^=sK>JZmcx$jkz>a?6R)HLGiE0y0~eMkuo&`dNgD1JJS zq`%?I#}V86n!D_SkEKfqeV*4-=OX0epV`fRmZ8I&7GM0h9fo3#(^9PElvvl8;272?3(GNu~I3D(SvYeGX@O4?$ zG3xm%J~j)T!nM$o_B40tYSUX17&N(b<5vs&5XTf6k=Vmm9URg<H zZdVVf_K@4SaSF2<6glr6c2^8Ys38xoS<$bZEucGNx$O_$HZWkT zrJVF8kKC2Sg?Mrp6Ra`&k6wk)vbn~Sux*pC_Gtw zUQH;lPQ5n^haZ?ff9U*?z>>FGehrRerm;oxv)!%?;cqQW-E9r1^zyjhr9ISP*UFZ+ z;M`!>%J)9}kbmI1!2g({2y(njv2oAI;-bxHJD7m_QhLjVeKt*@;J^#dc67ZsCH1mKULYk2pmJ&xW2i51BSYEA%^ee4`g* zPlql$UPCrYxu<5$XA$bJ%F!_vGov1{l@8u1&>7XkW3NhXT=p?CCXCyV)9<6vBf}B4 zXO;S*6HD3O^Yv@yW7ISQ>q;!$oKku3c~^w0CLWI)ofI;%M}ENPaAo~4W^bC=Y129# z6rD)I@nF(BF`J5%!tUH#&>-`PT@cl1(ewI~oO7TolAd7Q^>$9zKkKoUZjZQ&wxDA3 zr5lQ&$1o(4sq|G1Gix#{kbTtEWA(A`g!11n)DBYi%A1v;cNA8ZD_#7DxGSnXYp3c~ z$zD41BZ2Lgy&I%7Ht{=t9~9{%TYe^GPvuIykNF4+@4q6bATOkF3G=J4R!MjJb~?Y_ zt$Q;0T5ATcVRhesbodg! z9{bJWXb8PiBg4&d%87ZzU*rs1ksR0M`zTDJ%;PGqTNh5)E*6Y%&eguScOypWokr(7 zG3Dg7O_{E26zYD*{VVgnZvGu>7lL1d_o5LG73vCknW{cU^yJDw8{0+=DSS4bqvEhJ zp^_|KLaK`HaGe(M&ZsGUl70?mWYa`ZqUsNy!|+L-gttsmzMku#txz~bLc9$^3;O-| zcw_~fDxw2VeC&B8Ed9DN_OzXoWcT|s=GdMS2lqlx;jg+x`PKE*eKy*uHwrDed5U^v zYaw6m%L=zutofACQy1^i#CPxBMe4yX_5)K6fEj72QSU=WZtMg(D&p$){Rb3{AsiL) zvWyc#$LD%6v?E-%@4VB7tJ9yn^lSR^H=mB91J<^=8>Is#wpThvH)>gUh+l$ajvc=a zd4$v%1fvg5Kof-AM5eU5jcuR(^?k9u@l+uemT~5tjsRg6mfGFEeVk${{02gBAmxX% zAc?Gv?Frm|NSB>-c+lt`N;*8?$3orfqm;s!_=u^Dig@fus4dE`vZ5ls{!^=aPJYRo z`=)CL1F(&j#35_%t&}Y^j_%W}?C%8Zvr75T;p$Hk_U(2Y_eTv0)~~U64>ONx`&MBk zCgJIck%yD8!2_tn6Lz1dvWUtF?nY+YROU|4G@5D@m;G2VZm>F6=-NH}$Eh}B`#$>RudXyh$} zDriS~mw7bFe-|IHv%0>ZH@g2`WCvB}QKU&i=BBG`%IzckYN@7NyofP^Np3hbEU3YE zICUtb&6abJ8l$ftiV&C>$*AZbYBkOEtL|9cy}A9A^g!z9zpL=4zZnGlVCLr9^j+8v za9dt+*vBx--TqRyzb#!o*F}N}SN3pd{M4zxC^?r=wpX^ly}l9>T=)L{dz#YRoE-f+Cl!;W z#vvyHUcq-TJg8x=xBn|3HME{1W!ADj9dG1JwboDyb%!p*GVmqvfd z^0^C8ldPVO&hwrgje4Wjwv?h9ctJmb&mXG`Ka-^P4|KOG?` zxnC&dP* ze`qYfYgi%dh8tf&Ty7%$(VZwQLeQqB?d;mWDLDHVhl9`Y?YG0F+3T!Rr3U7snFC$g z1_p|Sb|~N4n3x#wIeEiB8Z%S4&1*ZPsi`@xITS6?W!$R8BSD@(jb({OFO=H~6+8!$ubZ z+qOizf2gyOop_y}ek40Ypb4l#s2#FzZCu?AlC#O3b!Fymt6y&_Bb4=-<@Q|1i&i|f z;NTJ-UuoLzjyDR=sF+);TC_)$Vz@Hg4mA5BSkGKIO)Fr<16ShFF1cqlvxE^!<2~b( zsPjPQyiO^dj|;)9mUl37(m8B%>TBVX@{yw4E?sf2i12;8WyB)xdUvMrf)%^RWqBrp5kGu!xKTdFPP>QHHRb%TaoqJMgcL$lrcd(vw5dRS48n9{J--7FyYBtIr| zY5P}NY7g}^3@5VjK&BcQ%-73uZ@@y>S{e6C{zrAc2O!FDoi*35#k1Dw&Rb~MXlFMn z^}_8hZo%j_?ZxXSxY|1!S66*ox$(9(h}#0Ddwco{${qD;vGuFItZ!gM=}2Czf?UZrwgmyiNK0`BY(qA z{{Q?k(JC^ABTQDC%ZG%T`$Y0buNQMpjmBgb|6=K7Z|!gPH0IS_#(3$*{TvwG``#d)2w7egS5g4pR5DO*ZS4IJHa zyBumHxEHk)zhpqVHT?P&FN65X9RptLHjf#W(!S5*9S53Lmv#6~=+QC?Gum5?)7l&8 zq(Cz-RWlZ>O|CobhmK;GMmGmiQ>6AVmZM=fKU_x#uG^}?*0vF~_i${_U1HgOVUa3D z(L0+iM82q?sMfuJM?tKzC_uPc{@3__VRJ@Jg3%e=BqP#MWgc%G^0DI%xAi&p&cCG# z85rr;GopBZJafqtk25O@IB;)Sp)fHO`+3>xq>f)gLeN@Vd+vh;XIxh7U4pKqraVUw z78-mx*!Psk3E&Ab_&`$JGa{{As2PZehzpeBT?^=>w5bPwNSHh8 zG_H!^@US$zG_P9n$-fuuTW0H}MCYM~kqGgDVX#E>8rBS^LD19M^GHZPj;5vwK^vf|X1EV*LPk_-b24hi&Eow+mR(-j zv3vhsjY7M3Y<_<(W!buvpFU8^N9ZZV;NIJzMuq?7KPHytj(eF8cL*yOUVm>V`^Oy< zp0z&r3C?w+Kk-8jMq9fk3X;AXKW0A$9$DF(No@onn)W18-qR z2gPa-@>lk@agFTx)qK69yoNc1nuJ%cZd8e8=n}z9Phldj`<4N>+oA{K_J!A@Zm7|p zs*O@|vmPU}9)8^g9n@O}!dnK+Zi_B|`}Zj_ZW|CUQp1^puFob2#^b{N6T*3ZIb~RH zc9wDdT;Yl*0mUAp{e}@Gnlp>>1XiZpl5tOY*6Fx7 zQ?638nyRHwQom-4V*Nxz!KtQFKKG3{|`gZ3y-N@CH}-Kpu;nJ^jrGBg*-;)XWT(T%^p8 zO%Cbz?-ti9JChr>JW=Dh^MHFAYd0_&0oh|F^l?y(ai z)9rI_5iUY+Gc(PuCyI{A5 zm)o}&8}VDZuJmgK)vo$q44N%W`GRV_PJD#Ow7<}82vKFKeAYra<}{gueU|13{ev%Sl&JA175Ha^poVip&YhNIf~d3Oi~G5@aQPbeGy! zs|oufkjxFW@>p=+166Qey4s1SUUsuoMS26h6oeyC{O#-dw=du~k4zO84pBfV(RVwn zs4Ycv7xA}7u0v!hLD6LMJe76^2mYXI$(+OQD5Uat^pQy_R_@FuD+ryQt&0c|X|d*` zk5(q3MSfDym0^sXdP9P|t1;E8788;Q$?U3&=6|(P;R1{Osb-_9Z(wW)Idn&sv8c&h zo|+U&PYbWOjR;%?^Eq-N78vH_ zi0v&ySo;xbMo?HM?#;Q39v#u_dq16Z*C4w61q%B z1h|o`yz} zL3biT$)OilMXBNij-w$JzLo(9N|!@`3sFNJ=n%lLdi0da)xarRD9t}^47Q{ zP8?qK2;i6JJBKA1xTOpS!ID5203BYwH%t7-!B@v5z+8XpxE~_20?Bwk5J2+7l2To^ zB!ynQtp@f@0!6o!2xQ*|l-~Hds{n?Nj_Z0L03n?#Vi7%H$Y_NiFywD)#_E9;oHnKd zkB0~}Sra*exPXix!HE>`!x3{%9ire$MQp=mAPyuw@t^DEGZ!G4SNig1YCt8SFM%Lo z#)w3rf5N8{rf&WrwsI!G@z`+IVD93gO@I}kke#>|pebk0uhS0B-#A=WXbD+inyMgQv@nWh# z9R1~x*q#Df(BD>LZTTM4Kxz8$B;Mqleq5Qn_u%PORzJP-*#LCQ!zSC2#*&}ZkOjn{ zk4ausvNcy~g`n$mh9WP!fNWSYNuuQd8%JZOfHpdQuR=-)+WKk@O#vST9slls^*7f< z9R|MvdKr%228Wxzw-q9vHA_DSxSs?%UkA%<4lInXFUt-! zHaFip#z_LD#leP{a*sw(A7YA zF!w+N)%IVff#|$M6@iS{;zAof!1^hmKdZzE`qCOLE+1SnnvSoby^SXO^rP$Z#^KdOZI zgOfBc1!W6p(L!0#)fsuAzF?DZ9PEixZpt^bSVw_41!aX+ zJI-U}0K1WJDCN)k%ATnacqQ#O^87?;eDOMh=C>ID_aXyU{YBAbkP940P7$bL_rG|G z5w^O^fl4R&b74k-g$ZR9-~8U~7x}Lh8wJ)2+mkaOy8p+vDgOee!mh9aiheu&vF!h{ z8%RZOPfa@C=AP#y~E_c{${(zrH%eRmfm!>kcKDTG`F z!4I;I?1IYQU8X?aci0;nHj_1u_l*twL&eBMwj5}uSA74nq4!+IMo*tnLkoA^g;9xZ zF&35-NuTrF_3{P#M*Dg@KaKVVC?dLyc9tsDsLOeoTZmx&4ZrKjr;-)`mou!Cf1P=w z%zwTJRA~IltLO$0+n}@mlJi1*yGA`y z*=39K49T0?wbEyNoW$*w2@be~DIc+gGRC%T7hXxmg6Ov7c7dhhUKSf>+~=bu!lo1J zH(4#-62`lfZm*3b^cxygjdNfTtqQn?%#q}w)Q06x445F`a>pJ6tJ{qORu}UtW;R*P z-FOT6u6I7MyMO<_ldG%i*2d;X6W^abeHGHuSsO!w>k4B*L36(>c%Z{^+rxS`C@8@yNz)h9sshSpcn@@?E(fIaIq==^Qpd>?6Juku>-+h&Uj8Pj z5$@RVelO=OPf*8mjwm4vimxQ6?#|6kF#F+0Hn4;}Uq=+aY{IFGt$!e7w`)lpvwVJU z5at~03?n~>yl!+&*G8xK4Jg$(3-qRbsW4Ar0BJxmZ5tj@jACTaAt*XDn7T_(57`tH zV1uSIiXJoxKR2Gn{~udm_u+8q#I z98$IiM#F*v@Z28C%pE)9nH92Q#4?fLPR8TGmAU0x)tK3#Ve7{Bz1<|bn&kck&0V-0 zqT6Vc%7M$>6Bp~nANdEoAXVAYAzw$@m7l^#R(8gCr1ppMCpN#UR^yvTpQqyds9`BB zSi;xX_I}oF>Ri|xFQSm%$1XN0jGft)9ea5$AkpE=(r&Wn@bmcO6ww-PTaa*e6}Xfd zbr5J%8+KM&v6|pVE$AGEu@N2!4x9!gy0tBsQeLsrrH|4qwFD zu(MkclGcpQd?dLC=0H7^B@x8+B{fecq&7j>S zZ6h0lc#=WuTv?BpG5@8os9WRb@EI~719YZX7}+KzI4tYTZ{BM>h$F~{*VCb$wOylz zI3r2v(fK|eVc;A3$V zfIIbi+HpknJuE+1MQt?u$|(od5=h*tD@hxSIq@a>!VM zysa4yQUKP5!OcXOM*x9dL4y;5v!rhT%u`{avvd9LcnHaSfw zaoU}je}!}i$xB--5l=nwFPH;b;l>b>n&GU%2tSObeuFt1YhlaZJvU@9j3=OrB;fbK zh@j256GlzYNjGf|bfOElYSAQpkV$>$*ptN~!{#S7B@^mk>x6L{VKu#bm{3_xnDWt*$kaivb zh`{7HijF}qSirxZ!g;KUOCX}B>KnM_cWz;GIxhocWl%Q^)ZYpI0o0?MIhX#2iIuz@ z4AO_7aF7v1^C>7KIBYGqQgFxY^-we@xkU-ra(SaagKHxZ}u)oj2`4q&%t6zNJE+A`=OG41q zU~~2ZUnL_36HS{?od9uB0yg2qH%kZ>5`qQC@+RXu80ZQpNvMPR56A;9(7XZP14vzF zi^%L{o#4~ZML01?hph{wfdXb|*~U0*j5n;Bs3YX!TKaJ$#b6TJa9@N zTSCsm3|-WTy^zC%V}#TLhdk6n2q&OyI0r#q)^R=s$g>B2{RNS!G)FGdUtjcwGj!u| zZD&V5Ph}|0aJbft&r=#oBQh4x7JK0kaIixjAlm{d3Ivr4(SQI87m{RZV123_i@m@R z(MJoFhYoo}f3~57erCYjekqz9oAu%(K`uCMhhx^fNugbs!K~sv3RAs-6*GR8r!#_N zP;jJH@o;nLWSGrrB?(kRyd`Ik!i1fjy`Zx4VeA(QPd^B$+RUz*2lfbn>cg?zgbQG0 zI%*t8Lr{0555}O~2I%W1qAID66e=hB&WDXPv*A4j9gs}rgJOQSC1FT~5>}-M@9ZtT z7)xFC3I|9=u$vbpt6moXwhF-hT!yk1tr;^wqcI28KDS1P73lvK#Au+np##$xJA8G{ z&^6A0Qn92Bg8F#x@&}p=K*mWh#bc)fmu2HV9d3MIYGQ7F1%%#44A6Z+xvhocKp^31 z({sQM${u*SzhJ6)(oMKUVln3-q!_*X53C5-X2rvG9E~nfpE4AOR4&tOf%pN}cicd( zuOA|v0uhf+mp@Z)m>>8+2E+Oz9$82KzdgC}k4MRR4;niQy3&NEYpIj=!f=3u593e1 zJ1dP9Ltsnx!3j1D*i|9by?2sX>cR$igyaAm{j0qL3y^sH+4K3|lRzZ@PX#hgEC}V9 zEm+x%5kLT9Fas^_Sz^^gfDIH5RTP0WhK`uikUx7#qf!=LYVYg&kp{|o&*D6o#W$E{ zMh2;mWaVXmI}N~{XHEM*h-4dkc1_^PM{^f_Q~%b1j8A90=n58@P9xT9qq2hOILA5Q zp$|&_Q&-F?{j`6#5I%7&2$$irsG6<$2B#(k*x(33%`0Lh*_M)$c&w#I-78iA z;*p9o58gW`?+J$&wof4zKbx&TxA-WU3zSQNlISZs_kjcuKU9S>BVjb#GJ3QKlIe*f zS9lak4(UH5d4P%|=o*%W7WXk3g0PhK^zU?Ma0}WzX}jeTSdrZ0JJSB-xQ}hXWvEB{ zSSmbi`NRRKD7LT70T2O~@~?eP`{;xA0`fX%?0!p-uI(rk)mGTvbJ+(t@+eI9T}0p- zVDiST_;=?)4@5>#L{2Lt<0wfQeG=-uEFutwjG!>DFyE&3H}ryQX2QGZ>?J6@2~^`B z2+RYTj6c!7M!?;NG~f1`bW z2Dl1h&^uz)Y~@|lJfCODBoG@>+WLi|e57|YB} zwJ}}#sC$6s*3c8IvNa#w*u}R8aqN)W_awP&P}CmPg62PoMyP{={yg9tfo9{mde?#H zSJNrzhYzL9PmwZSYxq_(DEsSX*xhk3q71QWj(>A}IOGH}+vx=AIDnklU%f(aIMx}f z0Y)AFLb$7HEXy82Uv{Z|lMSw3O;|gpG41~`9kdhDAcT{uFoK_y=U7r=76Tm>^6uF1 z?Gf#oCwxGyziQkF+ee^7l%DQ$BC;z>9Ng3W)!O*y`DWmfc@Cipjd_3=*;@Wn?Y)vS42$k9Y@Pd(Y`(!)dUFandWB%4@rAK>WLjL@d|wO z+yKX@N2)5RFamznpLN)0od+|i2f`ovI^kLrblL*+<78fe?i(ne_I1tzlCQ=_o&O(I z;}%UBKb>~+iw065uWLa=sCZN2>6Y_$xHquAtBX*c`Fa5FmF1sn{Qkw0^kIIrSM(cx&d zZS;Ow#|kN=+l%~Ofjpq$nr2%=b7~5RQDB|Ms|vI`01sFb;SmhA3r zkNWfe@Y$^&mELT+d7Dz_&~kgP-&v=9|1a%W{nliKBO@cNrYL?;W41Kqwr^aT+gtK0 z^2NzX=-SAw!F%cCK&|N-zY1JdJtkg|`AS4=iM_fvxrY6mE7(Tu@Y_vi`qUTfP0S+Um#v>R+|h#WeE_ zhPL>yWX}h9+-!1fZ}M!8Qh+wv(; zXCB=ZW=q=gCA*s3!E4+Jx;CkH=yX7)f*2jA0Vs~2Jo(nSOj=qbG%yg=wO_Y2z4^pX zYS2sEbf#%;qNWeqB-x9#!F}=Y$7g0n1gsBuO!$m$uYC*~91U+AaYOo8K3~S&uF~VQ z4WO^0@IYZ`)uCDi;%=H5{gsy(k$qiZ8X6X%qOXHt@kWNh6<~MDAlRcLE>wsg=x~h- zO-T-)o6Gn*-5y?Ed|{bPf(3yGPU&|e49#}-KD4Yu>iuv&hjwSlfw6;fZxg%H*-^JG zUPnh==e2AJ_Ui8q)D27eNq`M=)8`-ggw#@n8V_EqvSdsvoE zsDe8FncR|}K~vkjqgI3(nvp9gag1)uo1T&3S-oWjDDNxqXrME^eS(;SO|k#KT7!L# zxb4dF29p#v*DpGhKjQ;GHV`$C6%z=Tg^y#A*E>m&{hznHQ` z-gP48)g9N;m_Qdk{_IG{6;Y}zGCEEwpvP2Z#s(TA$%w#|E2*sG!=;uTCnpB_IwnqI z&gMhVMdsaziZz-8CMI%gY0im}E_f zfi|$~XnYy(EfG8rA3hha*ZjsOdb!5pdau(ZshJYP(eSAZ4$uZ!mPAHeJA|umox=x> zv~dhp$dNLBh6K=U0tuV0J3o~5{MK=_oSfXsRDMeR$M32V(jviufuA>uI`9)A z+^O|iqZhuwe|_NZ`}gl?uw$~G<;S=BQ6=`?-tfA`y#RY3GbzxLAz<^tR+|U8Uwg2# zoR?2vBTT9Mm30eZ31RKXrQPiugIWqmKjvS17#cD`?X-gsKxgFtbI0|qg9C<;v*B|# zUxBe9j$1@CfV%wsun*XUmIQoxnyr)rv|8bJMCEF#Ftqugcm;TtYC>u%0)J$m3)G0m z6F;MZf<%J#oIE{goosH@`q|vTdKZDQSB&yptM^zOP3nz`q)Cvxz)jM|?RCV0NFJ$6 z`a%jsz==pg1!#>=Mqv=B7o?82zjy@fipTlyw{+}xsetzZ;3qb~4pqT58YrF(MhZLo ziz6L259a*1$0FV~P9*klAhH!T$yQ`+9=e~gO$r@GHBDa?=)YMk^yp(E?cc`Lyq=j| zFQm~Vm&y$6cv_h|G>67KI}EZ)bz+{qsI>SUcGpp;JzQ}O0%VLL*re> za^!rsXb0J6*W_%M`D(X&Vn)dwUZZ8cp&&S`NIfiW;r{?_@EryFT9K7&&p`6KnT3Ja ziqwvvAxOhSgkel}!+^xmOJS5mg1e3#;)<}b=0<>g-?53(k}T=j=rKsEW1SK0wNV2( zmgvj-IFTBI95vGM8b2f>`mPJ2y#h`kFY&>#86FyHZdzxYVFf6G_Vnig8NW@@BW&D) z^xbi(E=Xs7zsAjDaC-O@%?OI4Qz!&k+VMkxk}D5Hz*d-5Re;E&Bj&`F&VU3yI`q43 zn%K@F03q~L+4UXVGJ^57C}9#F2p!}o%o=)C8U^*dMFe7|X3nM!&P#ZQK(vtYq4GrP z=8g_lncXZ*+RlyyY4`mRIR|Pm9z=P^VEHnj>&in=&xCp88K0Mc>DHkGTX52R=haX! zaR}{^XGM=pe(;l{i)iWT^HNf|p_B|I!B=4Tw8!(#!mN}bsaK$sIE>!d>V^*Kn3qN_ z0w!2pA$i&2@0RHqa^y`uZwkt%so;mZ-vtxD2#ryqd>euIHQs@=LK-k36XuGoDc4=x zA9@1b8u)#F+2jq_KR{b+ScB9G>3Nxjf#o8I(MjUs;F!cO4Y5imQBek`9)_M~Y?Hnb zqYB>?1)G_?pHx#&PqREBJU%n>=7a@L-j*CoW7PMStkVPH5@nmG%`t4u9!2_$ik(fC((2E2+#AQiqQP6 zum-lxtPQp@@a6-&J%r9!$id_l3c=!Xv^TFxq&_`*6H-B`YSuo3x(9e18sIBGKDEj= ze)zeS$H~D#X##3k65UqOkHkP3H3jGZNn^?-mKTXT2PH+&hrOQ!kGV~;0J6tA<3qw* z#>5Xn+=#FS^B;_)$kkKuJlDZ!AwLXAkS(tf6SAANz))d5m#a;`nW*-?293>UVakt= zQb265aY0%Q`mXEa8)Rt5cbM7wl_N;Z6<8=|TV=Hz!Udt|sFaUCr$xxnR;R(>Jg&Rb zZ(KeW=&rMnATiFG9mc{q4PdWOwj7Xz%yqh8$Kqo+lC;XLk!rb3Soch@3PLYPDj@>R zNTHuB`WL9ekAN~H%-KuR@>yrh5a=UP(ijK?f_Kl^0|U_ub0-&`B!Om# z+_+P+)^y0;hSC(ets3Y1Eg)k@n64M|t$KYp)9>HE^^G(Sf+#vUE;y*OK-r}uj0KAw zc@tI-nvQGmOa|o^72GF3*KvmOq+Z?Y{2prvod%OJ_ISMkR5h=;fvWjx=Lb+|Bp%3* zuri2;`rm+A;*rJz&q_SbT>?@j9$80WHNc0A>`^cv@wjmd$dh=ePy;h39?0PT9rFK& zvgAJL0~SqjWtrHb!GWUEfNS>T5^l6V;X`w9X4dp5NW0+Uf0YQ@y#Ko((pU_c@F$>e zL-W{&qcis5Bh{ZIUoZM;1O=e6_rEE%@0OH!f$tFJ5I>U#%=L5*~EWoR(vf+YEh=)60oP_|`^nt=kGx zG2DGDm5qDTIN; za_zM~ID;ySme-LY81{8G7dilum0vcmWoR1-{j56OvGGH^;KPR(LuC%koZN6zYK?8J zLNQF}g85#kc-@&~H{ApR9h4%M&|!ygpiAKkXsF4{i17+|#|+54LSG_YyLJA0nGg3{ zX^*F*GG1YWo8?9ZE2=A)bGW8iAP#V9e6xR+Gtf!XaenP@7!h7<8t~x1VUen=A;sFr z3_YF6pjh${g@89FoX{9|As0(&+r>r8?#?t zpzrRhh`cl6z5jE_=y~D(lS*}` zRKv*E0q99nKO2W?a}EZ6iN}`AAnBQjgOuv7x_E@ISwRgjg140kJfNB zd=#RJavA`0`MIY4-DU!MRlYS`(ea>LXS~r3Hu%DEm@DSH?E#c0qI9!UhtM*)bZTT= zap1hCVf#793V5_N$2??92Et4QTwbd10Xr^|zz9TK51TW@bM|Qw3B<f+TL4}F#J?f|zHBFQi4?vA?C|jgD-)#%QFaGk;!PU{Nr;NFf5%^&3AJQt z{yQnpR$Vy5`~mSY*J;}UDoX-nfIKHJ!|6N;kBX?0BN#ay-VDqvNH6pADDu%gzAuLl zG8L#^Bra$#;wt>HWdKV;4Vl-sb*e4P+BlXLwz8LB!Jz4x3~uMapSY^)dRUgY?+2` zujq5>fz;+iKK{NJo(wjKdrha#pwqzoWA&Rg$0%RDeobPSG@5`;dxt^55Hm{xm;)Fe zoQFBpq#`vown_qU>lfRAnD+PGBtIgAYa z2~yt0ld%5KX_f0?0C<*wQY&9f5eSe+Kbe*KLNB1-+1t8b{96n7g5=6ZBZCoLCuo0a zxVbD^04LunS1%{Gula!#qBP0}03YN*)&B0$xd*jX*^|tR7%$7*BwVvm%NVz=F3d+j8D^KsYE=m{G)JE3}%B>W} zqYnL6AIMP0WT`GgdlL+}DP{Ye@BBstVUGarI_xa-xcjp@z5JS}=gI-j>-~=3es(8u zI$k+Rq4<#u&*@)%VYrfiz?dZ77E*sqYadmU9n5M7OzWr_dUCrQe zkyF!Z6|BHI4h)7kBjsfXJ0v-}u(w^7O7P1Q-`TBVUb^fRern@3_qRZ7%1DiC1XEdO zwP4K3%7eW4X8g1T-%Hym?>wBt#YA@5*r6GHqm!MFY!o_X8hX{v>$SCx&aXVi_uk|g zcJN|7Gm*Ht!SmhDFR3j-xa&@*rwhx1e8?vwNNLWktVFt&1bX`3C_)4Pc=V4fOtUo) z$q#JF{g#G?hQhKkkM)hYc6;z(V7JSz|99N$wP)zI)^@Y2U>B78qmE_=-VISY9Z8?k-L^VinH_N3Y*&;ZXVfHsc|YVPA;k6PT&ka zd-udMZ~JS0vBFUb>6knClPcnRL&BX_0{l*X5`FnJ31a=L)AwGQTl2^){k)+>Rd*N| z+Ai0{Y_QYpDX?ZkvSUaOj|Ok9fXbr8#^-9cxi9O6jZXb58A{YF( zi6#I~kc$ZT(ZsOXAiTFZ8A2bXV4FX)d^4!e-tK|Va+?6|CxxR=KlaW7PZLp64Auf% zAEd}S@3=TiOiZ|3e;Xdx-ga$4@BWd$#Dw`IrQ_atB*=~84}8vFC8|4sh_P~9LpJJ?<7KmB+J&G?s0L>S|SKmfZW> z%>J<>%F?1Gdp=P|Bp;o0eTJSn5iqo58`d#7GOZh{cNZm^u+p||o$-=k;*4l3p1!Rq z)N;bJCVWQ8sy1O^h@qlS>1wK_A9?-)Z6>C5azk^uY%n2yu3+cOP3s3+z3PPp(e8tr zo-S8~-!fR|mTXruL3pBP{g2b98kHd(%k-(*-1*+M=t{YnH2J0QnzF~*B&I7Vh0}A? zCrTPeM{1NagIjT|t5=A$waDma{zh2d@|_N5;lEodEL`MQe$R)KARN+qR@UCu{^~>@ zzlXr|4-3uU-3q^slD)0YJ>L@jH_K+#(tQr>ci)4zqf{j2U%Oi>9-eAnHb0EZoTC z9;>q}O70#W+vMn`xlv7R`@CKPad9;rI?_mJ$64!__#zwqs=V%C?cj z+UAke-Igb%1ngwTTA(ua8z_xJX-5Js9~eK9k5(JprM?vaBV@NWwKwVHdK$eic6lf7d)mnhwy3aW`Bi zx%ZWbgC6|CLCG+EY;?r%95n|Xz5@~29j-Zo-AFyV5lRq}PYp$)Vosz*UUSAI6Wnqy zj9f+o9t;sgluxFS@-1L|=pWq`#FAv_Q9w_{rq`jYOn;hF$uwyaa79#k#6|!vv7nLN z-?>b|ZY+S9{tx!vJF3a8TOWNw3lNU5e zzc^FAIJXYb7DskYTT5He7QmscW1lFM7k;gkl8G~5n1#`V3 zf4O{3=FG}H*OHf{mEwMK#@aIa)i1qI+M3-e#2siZ-3Fp`TpDiQSF@cRM}1 zkA~SI03b8acNFc+E|j3|xi%OB-Jqv^F2*J0!oIU-&bWa>wE07stvon(5#pUm|9wHy zlGNhPnR22;h}aO|c%9q#2dU8nbM!{*aP7Neo7#rfY$3&0Hupf{R6-R6!5p8fZ(N+$ zPuZl+agwPq{A_f!#7$OxYv7-q({~Vs1I*koM@M?jO}jCtO8BFN+SU|h0h_(oqyVKt>v3dQ5{B7XY7}KUUY~vt9`H1X6UC74oxLUZvgQNBjRNP00U;x!lif zjUyoO3PC~q!)gK#ETyfZB(ZViXHghP&AGiyKZW6cq`K>5XIUjPg_75+b6>*>GmM;B z`JFq@#K#+nU4V9WX2l!aYWUghYnbSVho=yJbrI^~%qo$SwYq~t1Coo&_2EhZhX@x zx;9N#v1Q2}etsiDiKcBlAu&$ofg&BAGNxluQs-{}UZMq6R!BC0%- z8Q@ZF-c%^!x8Yfg=L=zxPwa?cxH$m*(JAnlkU>4<1Gv?fvN*vrj@+rHS4utMp-(Vp zdbizveT0{H^+eat*N~({h{dRtKLqkK7vOgfIQ|D+6Dj9DD$d*)#7GGi6BGeANerLIe2nsYI zOR49Gy*^IB57d;cs;Npyw2~;Rse(Ho1KRck`FF;E2M=@w_dxXB+-E1dQPkmT_h_Ww z+P4={?GA$9!EIF{kIS!}SJ8Zc%$(gLyMp!7lC3XIX$YNeM{8xficx?0uS*I`xBHS"=0IP!U1!$D;qjz`swALO*)0dlbZ9LY1?esEL%t} zwK{h`$>jt6&*^^s7U1DPzcJ)iOQ?e1Bxz@oLY?Sm-o80Zne!r5cnQx>YlX3en;iCI z5O6Lcjud;@+*;l`#(Zkfgr{^sHPXiBm1(>)e-wF(W^DPJ7l7NDv3UDm!c%2BEYscb*j4> znUeWq>pBTVH3qx~UOq)k+Bd-2*56*pkz1m#+iGcUecQZ>*;F^aranIAzLf3qDQFRY zmL0ihQJs5)YKg-m{r;6TkRIGuc^@9|95Hc)9mQdaQvt56u(5@-kGe@)n{7ca&_&Gs z67GT338@p-S9b*=vg|}vNKjdB90Za=u-rR}bj*$o4Gp!1!KhR7z+IH}TgxGCY=0u$ zB+@@(?yVN9h>5u`gqU63amt98+)^*q$&ie|dM<4_b!K^2#aE;YWYMUD@tu2GJz$Ao z8rdEaEo*w0C}(+MMXu5Qi*;EWs*bjGu8cPzI5(0D@Mh=-(C0y*_)dI_)3|d&wHVMF z#PzH6{nK#hB0l$l|8%=H`_DyebppN+rPByKq2=XSR#xVf>-5;6f_#Ib}s4w%@-zlzc**DSkkHq}kJv6Xy=g@_{)v!1TmugRrr(7b2^3 z<4lQ%8)f>Z->p*JYo$EFd7_QA?zM4-A3uG%;S4IM&*DG04S^C(PF}3IB)iqCbYpjo zpL-s^$%->;7Uv!^lNbW^nVj6y@G2EW{14shNaF|_$<=L?D)M|@N{>xC5!ujCP z_laY_M&_a0?Ac$^5$vfDo?ll@&b;pQz*Yium^*csq9VUZPWRgwY~5@iK>}*364C7P9h%K=&?=f&d>0-{XtBw4 zObD0?mmWAyaqbmp1DWV z>e|kJ@8>R_5P1Vxlfdo996FxLw!B0><{#cjK-NiDCLTf$7B0?DWC#s;wdu4pu+Jeo`qHq75u9(QM$60wwrw!>VPL9 z`D*T0n0#~#Ad+8M6D|RO36UZ0`DGa+f#w z4}m#5cc2hplqV1W->~6`pI|`4dTaE@=I9@Kg5N=mQ@)!V{D6tHx2b&FvdjgvRLDem zjeNg9&e+1FeWw}sb!qEvR%uFRN~(rP*<$Fh>0PzWc--C}A`sLq3zQf5zxT9$(7+w1lIy~@|TctmnQo-N(;4s(E`z|mlL+Yx0>-)D? zVG7jEyIyOXUJ64hZu2MBE|058e?oSqWV8S>+cz|S_&sAfdHy z`3YO@wr55~(nR_pvJ-R_Kfy-r@5WV>#ZkM*3=*ocgymD(PwPIRn%zCpQRm|?!p`pZ zl8jZXgo&j{$$A0q)oHk+`ILKgx6=c}n7jQCAQ1Tc*zMHIB9X}SW?(57=f0(rz+g@ePj`gvWjOH`CESKs# z1{tX0WuATfIMOar|8L^l}!Y7PE%m^Cqr__h+&U+6jv4ro+hCk?Zb9iB`dGd+0@D(GYYX> zqJ>59jHK!<5P|UYTnXFRoty8~Em{WVn!T(A1e`g`H~O7K67eY)kaidrXHs4Y~SA_a)FSoqm4PR)PLR+who>jg}o<_nZcq(ST}gB9X1 zzi?DX!IkC1cbI$QQxn^pbV}Ii#o@<}4)dLJQYR{gdy$KN8uj+=%}=x#2rQYgPdp)t zk)2(kJKZbI@tobkB7%#uKIiX5BTl-sqW%rJ7{54FWi2F06c*yE306L^(hQ+cP- z(<0`VM*9b!5M5(fi3k6NP-icNvr)&v64ETXEFRzHShN$qWkXt&#ShYqI*2mF?QR33 zPRUM9GTBA8JV|LdRC9B5@!1cn4y`P$!?wD0cP?!qMBN0Lq%wqDDI2Svv8VZ=b%W|A zFH&2u+vS^`dxvQOofg~r_@Pqn4U;ya?0 zwA|s9qyAKlojTjYev~;0l_By22Z0x?*Q)yCN(Y>|z4}EI3^OFpp?!eS-bQ@S$({o7 zx=JmloS2EsreKd!fb46D8+@1h(dVzH&cr-LMYEt{Ev2u=~nZes{Diu)1qyByr0p00agv(g!{Q zF0R%Vim<5vD_Q3F|GbyQ}0C|GJk)Gw)FwjDLhYXtyUC&d~UMS_@j_^MDLX z9S7v&k7mnU>rU((0j_x}T7L72!q&Iri=e=3YW0mf42ES^Fn7v+XOFPJ_yIna?FNT_xvUiMv|Ydr4$7GwT7 zS;i}pi`{STdSud9xCPsQ`SGqlf%@u%6CZwh(ZKB#T=Y*USf(wt0_IP)*~h&BNDNk$>B(T(tMjZ zcJzabCBA*uk8@Q(W|ZwM@Vet!ZjI46GSwBF90>V8F@zQgTDu~We{6;(E$92bGPtoZ zsp^L!d}^lE-6f&7)_%JD4O7g@kgaBkk+#&~wMN@|3XHO29)Rpt_)Mk`1ZIUB#9qgz zTrb`j1(ss4wV5m*E=YnNZ|o*757!P?F}_;Gsk<$Tmmy5BTTq0JDr+P1ayL_xAT*kYR(wedvZ zYfI*CG;F(D0hEKqDMtowV2yT`HupI({1AbLmtcth29bcQg7l!GGVbXBEwpcF=SbQQ zXf@PA=dmO#=NEu#CO2XAvI@PHl*vd=RuX$XFy~LKfs+v*Hj=v;-d|QK6dzC7rd9}& z6|-O()_$__d|6@ek1M?Z?RO6mcDuXU6Z<6==NER;X%2D~lRcMxRcx8xQjKS2rEkH= z+fJHKg({C>Cfd7Oag3R=9}TqVve+5hRjn6`Euq>f3~x81+E;g%HdPiww-!vT)_Yc? zw%@EZ`cWxy3uIUzj}?J(H&Bq!A{e!my44+5pPcI9yp>OA^9oq*Z*D`;rm&GZkx!t) ze^T`gFQt-ty-plF1)-YBR5&>~UGeqxRSHj~%!0bnN^Ym+hg^>zupig4OzBJ@=LkB_DmlU|Ud` z`H;!<)+KyY%fk)L>O5#vfQ!NhGyTes1F{B~L4}z|d1)bsbgzB%L2>0vqNIJ53JVZblgpzR+& zXx`-h;PjDJ^?KV@MBYHo@c6-i_#;hO+UA$JMK!8#mNg&q_CHdA{mKcWoNQcNLJ2rp zl+WKJ9PsHIHsQB0Bo0p}=Wo^YFuzgHe7zrS#NEcwWzPL{I^X(hcH(Ke1!YD~pTwoo z5p6X^H{Tgpr!uROho%NR^ZV<nr^@|AlYAd%Ac*AOAa^{n!Kl0}jiyfFBj}WfSu+ zDMuZ1g@k%UqKu0wu@37sSLpUfvph?@WN7zxB4<4+eRpuGYqpc zdXw$_W$A%&=lc&^jSupe)oqlHNSa7_!HKlhICZlp;u4QX9)c zp0MJM$PpD|?M@G73=Pwmrc7mI7|m_AoV7|w2%hSGU?jIInvuG*l!rMSc4{BC%xU3f>p6 z3_pt2blP@^GYdk`7u$_e=!7=x5CtRT18jX(Z z8M66wr$haj*sA?UAwhi1cS}^2$nnQ-UwO)gz=@1tK<5YmRkRhZv+s zD1X|GvPVN!@(FHhmr2$#*^6=JhK)Sa!&1}Ay={3P*2ln%%txT&zrlv42$_^Q#)f30K*~ZNm^ebVSMNHpd;Lmt2O@7${5>X6GiImOK42t=p~>VwAwV zSCD5bMpWjR+w+DXX~d(~`iO!H_iTx>xQu$#8x|bj)i?FwX}VIL zcN$7l!`B@cr^-d&)Vr-Xile2zd`}Y9oO$ejojWwDrP7^SGyh|yHA+Aw?%~kO&}q4z zrBYtK_acVSi>aeHSG^c=&tS^uvcR(!Xh-!t9J1W_vps_JeEtf8y-*aDXVeT^(xCIV zZL~18R@56iI?E@rU(-l(%j_tdm}zKULN8m7W#x1*YwuafeyQZElZw?h$uYu1Ww35@ z`y4rcYkdP7W7izBm}+n&`0u6?*^S3TtIEsm9zN6~qEijk)Y|HpjbdXewwr8qLvC>- zgbhVCF2HNV1yQkmFwQT`J}bA)h(c@ zSX`$l^xipps#&pM!5#37=C6hg?_<=jt*wn3RMXN5r)QCz8`zY4IQ51r(;Df+xaW$> zX6m3UbyMxHz{~;V(Nr^n*4j~q;y##x=G;tv(M(0ZxhcuHKzhdW3^$hiIpN}rC)124 zJva8sovL2#DtE~eo8X${=QYWV!D4ECWKqom9PI^?jRE0tX!7RT?=TPO%`3w$S}6N> zvz$L74GC!T@-?Otv9sBrxUn6LFEW=fJ-NVbvOW1dMdb<13zC&!js2B9Cks0L$RSyP{ zW_y}GvS;w4CS>ZQFBAjybt$*V{o11#*|2P51FIOW76L5Skg;tfMpp8TMJ}OOyk>bR zXw@&x+1B+wUbDbB%{lwMGtuRK$KM_@bRIG6QGsneZE=jYfm;rl{*bv4rLmbR_(Y5w)(TsdTY-(Kf2FN_ zAx>=?5wPraKtHbZc<|is&_9?@3-i6Bus&o44CC+rae1c@mhZgo_TmHBxGv~dZiH=p zH=mnN8?tz+9@m`tT2jZ+{E%+|mfOqs%RRjh*0R8Rh9d4ePF|iY zw0)Z(^J96BGgGbXq8K@yqSkWIm8^Y)wZgvPltcF0QNe>bd9u zCil@2YvyZVjXHK_SJF{zUL~*fEr*q`45w71{`5(2({$@xA?t?@PCnY(^$ub-D+;C- zd$VQ|9VXmV5C-I}bT|?@qam=L;n;+%|AEix3B0JnFEVU%8w?J@)~ZLYWU-Z*d*}z4 zO&`fCv_JVm1n+jB=;V$-Z|@BEwNH!IYlrQ$#Lw0A3cFvyjAaG}!lHWswe< zrXh5zs+L2XRpB?4_;6y;HH)0K%dIZWPMctY2Hg#mI;{(6+~b6>sZBPEb6#APR%E^bg)g?iyo2-1(j~>*tT1yjS-3YFs+rt0SYT-CXtOIP z9g7f5-p4e>thcO_YU~8p<4@hdn)WdML1I!f^DOx>2W!V;lspPeDoiSPs7+Zx1}47B zPt4kP>twV0T(%-RQ(yAaEhFE5OL1V05Pe8_s>#*AIMd`3+Yz3G;cFJ0ZdQU##iZP3 z=`6E2ErC9@^G8W$0PDdLdd?Wv7?HzqQf6IRiZ!LI6R5vPs;ZmR2jZ84%_9__JBcp> zQts{yE^lvidO2qlF&w^}V@ua3sThlJV?S)M^BW+O;5lSP&oX?5Vfo9nHDIvvtfLni{uY-)!3F#@HrwAiK z4|uJ)yqlzrvw~IxE~+0I?mizLEfiU$B1odEc)(Say)*f`&sC4umtn};IhE&s zX#zjM(533g4o)YS4E#lvgM`AEREVVY5xd5>bWbE=J}Vw1&^KiT?Cf}V;ow49QSmzrurnUSh$t>lwMHo?%(k zS;m!2zZ?6T^U+z>9aWw4=P{MM^G5w$mT}Vi_T<8}p@`UmHI~+$05!wM1`F#&7f=@O z%UQpqViHS3Z#s*`BF-yW`{2IlYzHk8x3z_R^H5!5HTqZ_jdP zzi}9_9^xBbJep!tYjbqlSGACTw8p^Ug}))VO2m9HB2n$T79 zZ+fWIWb`UJsZ%4e;`HAiNv?L_Ti;IzOV4wP>s;YV*{(edkY;^G@4;S$KSGz$ss{0s z5lx$KadFFlIZVkg?+o#Zq%m1oTEt&)`Sj@<)nqPb&_NHAwDRVlp?}T9jhUlKq$JIA z?&-ttS5z6vgH?7#qJ1g#rM!G)1(JP*t#@U9`*)yNVapVSQfX>pA}TGNw@5_iXJ%TD z&|PV39SRsL%@_1=QTdI{+g`K4|C9R=pr!NvIqGY+ z|K>{W`}fzat*xaLtc;j*`MP+p zzyHD&nm6&C-~JsC1LUm?4UzRfNvohV_|d8?{BA$+)*t-?fm|`aey9PqPG98lE3`-Z zpe6olO>HeXHa52W&^~l?X#r+>;3NA~9MX{MOUj|wp-@7-f6EKABCPGPS5a{2u|{QI z>QB5~V`=fW%&gG!$L)fag9spI7vx71ga9!3FDj8j>6rW9oTFxt$xL4d&7))$ zuMEI+kke)(VjhRHuKh8Mmt}!-LZ14L^}V`sndlq;jjFIE%wZpV!R5XvG_|jfYyELW zUg&Z1(yHkUU0*(;1@SIVZ=%&ni}6_^OAIL{JJ&!UuFnB;NhvfT#i6A?+mT{`@lmI1 zk2{kUHYY~HU;$l|Z)hH$2b0OVW})q2Peq66=-at?vbTWURx8bVLL089JJDY;GN98y z(#>g!=Fv@YurKDb7A@uicK7?yZ$8&sNFBVRo%g!vis8&5a$S}0#l1E z+uGmYIiDonc=Z9!(@lyc*ul+>m$Xv-iSYDd`2JWfL&UJ*6=P(G#@V}uf@NBfZ3xS_ z%#mw#$P(j}Ld&q^{i0nGIWy%v308YDiUiLWO&eMzD@``Y_DUSud!)wUK6+tUk8kRD zUK6JeGHduq*3-8D1YtYFbV3g`mv~kcaw*m(EIT;n#r50kDsp$GPv5EF%i(*)GqRLp zBEM{1D3dKGpIOCcl>ix$L{k6qhnGWg4$g?!qI?l6yc2m3-9rbA~-z->64~|bF?J4r3 zSS`HOnHvhq*m!oFiAgW6S8>Zm7%*bj5A5A@!0hNV3UIPLj&%Oz=3c?=?a@KVVN%K_ zsl_=;XM{>?^c--s!d9I4Z#~naUmUib)4OKO`F}a4e>~J}Ndx!MyTCb8)=NkTyA0&- zp_A&j9E6;;=|h<{WkCAriky7LS-C?9WYSrpEKA`~daUe!vBWX%Eizgo<=)Z%n%%Z1 zcW6R0EKdv!u|=ZRd_fNE1H;+skHHZ&DK^GO)%UT^ZDeFgSNr=PxqQAzOWJ_*fM9lE zG@H|sc⪚9Bd$j+9B8+$uwJ0QBZh z*4tlzg?X0M8?(V+bsgymdDU&m* z?&10K)p--I&~mJYmGv>#&FGbb8Q)zjf^RxLs4&UXAU!cenq>`{@EZq9y<-*T7{K9* znE38gS!^53em-6I%Uz;=;GbRbjS8b&>K8PP$232iU_eYaR} zD#O*SbN6M(ufx5I+2(5LAdun|K)zN&O~+1&gxrFHRp5xo8L4IKNuOub&{PtYV(spG zc&pn^)E083gYHor%g(`reS?gf!t)7OXDsoU*1mnor3Xu?Sezrz>ZoZE9P#Y=q)ovX zS>H`46KzkPPS&+8?1b7?qoIYQm`&+P2efNv$@!g=Khqw;oN9Xm@I;^wweu5BVwp~4 z+UR_as%(9o({y&g(B^Pbu>pF^O!kL8>99X;f0Za=`_EFG4+P&mq_A<;SfMUYnJaBN zhhL>sKf_Lx_cY^>-h-*G>)}o8{&hLq*3TP!jt1%~;U@9-6+yA&->sn)=$YTd%vd_g zqG!iEFThlxwmy*c_11wT5+FFpV*Q)UU-FCU^ZLzwp@`a&nH-#Pl2O%vA|rld1p!gM z?b^^A2;WSp66c@fjpWZ4t8nddEbH6j-voc({uea(=bu4e_@*F|%Tf*h^42;duwpYZLO(vPb|Zb%}w!vqQ{yOR%bgcmK zzX1@&H|DkPgdF;?EQ}0i94%A~?3XI5*FaPV@QCKL&5QpcW10xCm9L3^55W`oF)c;f zZrsiXHu*i^nMU5j8E{y3U5a=G7O(=wEs#OL3WV3W@3n6K!T=sw`_EN-@Apyw9Q71yYUTJ=SrM_ z!JJ~V`QBP_@1a|w%ai8JfL1t+QD2A-h@p+TuU)%ts4;6?pW<*}`#Q#(tEfNP^kejB zclsoDXz)&+4aeM4PBjL>7kn~r{$#Ux*6WlQco+%Smr*~k)LG32%3jeJl<_-J0AwU7 z|6T+LLr`~jcik+v;2syz`wyVUmC{0P&5o1CA9{C618lBV&(|^mFlHHr?*s8Rlx@Su zZQZtil_W!`j;Pgrf89)ztKiY-?8Al&sdd3!XkU$;Ch2&aLWtyMfJk;wC+k zZ}d3*%+SQUnJ}M>ARe!)@2+uV(&A1}X4={#HFTXp1+db-w@ypkO0^s@JX#fM0*3jK z>vb7e@eXsrUooicy?Jpru6J6o0fs$`pL@s4*=AGm-!R1HYL4?|r6*?0kNz>bePos& z%&EQAuJeUc{r{CK4cI#x&GU}VO8nS}-rvlOelq70H`X@^+gTZ~Ea;}cO5jR}LiH?w z+Q5!Etnu0J%z0I0yq51c&2{jxPUv(5jbmQJRd0exzs8mQiyEdhmn7^~BQCSc1mDU80S-2eb~}J8 zyp*^vetAsLsz2A;r+y3Y4KwT67q*wO6_OXZ-KB|r({%UEb}%tz zX3%z?cN@-deBZMA1^Wmfq zSnte)H7Oy0NDk~QZgqD+&X9{DFb=um#|ln0u*2qAakj(WMtaoZ}~W`1%7p{09q?lvh{hH?pdvsCZ^r2~=9aRf@?Ar7QOt zNZ#lBJ9q|3x**4t+CBd8R#fjv4GkrRP(}BH4L!pryj#A(Xl`7I;He^evWuZAoDM5&sS@X)g}=f8i8 z_jgx-VZY&UxGs9muvPc}j4x0PN;`WyJ$oeMR}x6uq2IwXHW!RRna&h^T-4>F~6Fy>?Szp z?^HOkGZ#*Epnnff>sV zN{(V>>cj7e&HLGFfo%-V11+2xa}{3rM!@=M>_cm)KopZh+js;P;| ziCk1kh>yQh5^}o6T`Lt2hke|B@Ek-Jj)3Swg_w$e^1dTisvl?0_UieY<~xYx6#B6p zb@xfI7BlD&*xa;UhFkS#Ru~j|yZ^*N!{h}TI|s_4|5?ExvC^*D_He6ChOPz^71o)s zPoFCO2>91jKWo8Yh|fo!cg##ou^#6wb9HtX_oSl!MtDdRt9}^i z{8-_6rm)K01(E!WM80wtMjSPfISNeE2W;o3Wl#FTin8%VI0z$hqdJuYVynZ`tf?pe z+zg_9;!h<&@TTPxP|Zra*u2<`1m9(zKF1g8XeQZgM0c0^NvcV8%;apRkiowGT9>er zo)6e(?yoTo%K}MO1qTbgzOh(kx+0De&*eWN(Y|7Tmju4zFbb!Ag{i*=d?n>iQ9*F0 zhJ$xXdk(!kXR24~5yw$^p(WPIw32@?xacBdB|oPy52x^Zj>Z)Hhk@8TOfhCGF;HA- ztUG4>C`n&imY;OPo5iY_r!47BnM*Sr1jUikb(e~&0A z6ajW;QT1DE5R|I zZc|-CVQOOD4*0+wOnQ4kRJf!>$bbIJSte%YUi^2&MwJ#ccL1AZ`)OgTw#eSpI6i>c zTd7vHRll_Q7Q5UQ@-79*ggDm?B@l(1>kIDtez7g_jD2JAafTMN6)TPmroxH#v6#!t zrUoVulD%{E2EVa+3oQYpOlicN8dCMgK0$h{H20X9n-^-yuiwH|LVL3`63ZR?1^jo` ziD2%*Nsdg6jNKG`?M0PJ)|g%Fhr&SV_j0wWOT&~GrBKsE2^bb%>s0~8i37IWKOj&& zQ7uhJMbBD`+O@)Jy>KuvyCnF9wCiYX$SuwdJi?2CcSC)1WhbKk18ZMjqYAXlYkc|X z`J6Vx46GF!-GhU#=1+bSP-*GT&gFbci+_W-lB_Q?LJVb`#=bVdv{1 z*}U8Zk_pU-XLOmT??LO`dC!ip&M=GP7R3e?CHFop0QXH}e^W(CesBZrEx$<>Wn!#IgdQMK^rbuD)ruIuG?vM$Ro}<3%NQ_{9P^? zhc;0S@cgG*dU`q&JA41Yz}wc!TgwyNZOhZ!zC$%ui4=7_w(>g}zTObnGeXvCsf2D* zE#%Tu32VNg8id}$QsE9i>8SpwN0P9j4aX~DzI8Jim!8siNnQ|h7lAI>11}>~U1i~4 znM>!da}sBkYV`E2bHzs@4;%OH|C@NJ_!C(*u=%$m!x=-Gu|AlQHS`WJJ9cDy=akxi zG61m1i-#IyJZHPYSY?(r3A^TCNqKpAy2r;e(zvv?4=#I&wPEjd0Z%SwBnKe zl--`g-V;Z~c;u)2V}Cd$=W}hI;m%I#7fr+fRsrN9h&7nU@=IG%wVgh#+WT9|b|w4Z z_7prto;8CPy4@IQRbnz-@Ne-y#t_YkTi(JThB#D0rdGN)H|&&K33Ba7x`KLsX_qpi zRDJoLx6!VtYEi75@G7}06n9Hx%ZI9q(|rQSIe0D?1!{>mE=xrZ;~GL*ePHPCs}2J> zA+a?d2cqAPM(vjEjM`Uu29BZZyvV!nxpx~0b~BE)qCUm?82%zh;+dPMzjl>0o=WgZ zpE4K}w@)=LC?!9aXT=rdPMtY@8rt);Rc|H_D^WjB7vm&xnjXiSz|*~!Tr9u&J$8k> z7NYtp6YPh;B@qIltvIulJ8yXhu`1@SHI#!OjGJQ^G~NnYgVpTmp^Vd zp)Mr!pn+NPuzbZnVGTRq964Awewi>D0tZ8JqOBr$geE1 z`PCzOR}NEpEWgT06bBsh6{Nh;e6i%n$pup?NvZu{J>m&juUbu0({eP4YMIEy#|<}M zyTH?3CYM3vpzQ$*2qXqMEF;|=H6uD3S z^ab3;RI+aE(kD{SA;sApwJo=JUuGk2>=aRCRlN9<+c560Eps~N!AKE|JdEq?civSk+NMwe@uN2;-W76s(4oS3!HvN&In334`EvAa9=tvH~yuK&8MFB z@(~~E`x~q5EmxfwyE&k>n@^pU>0d{a* z^3d0o{E;0dV~_cwTJ?$wlTxP40fw)^>+kkO=9|dF&Q}}h1J{|38KO2aA`lNnlsa7G z$@o|}Q09RFgsvk+YN60&^YPw2x#HaxGzPcR+B`LRC=K77?Xi2y$)^Bj5+(O#vD|Sb z@HYEkA<;KuQ-c0%1}Lm^ww!iGK-Em{SV`7q_D%Ti-C6dY6p=3_P2WE6*6n@ML7UK} z(3419l`(o%+2p5ei^8~e!nJ{jBAFafMHZ{j@ZF>^nbm7l?z5i~SYkh*A1z0dgfiTV4>x7_FaSRM`oqz zmF*$Ya{3%{35Q9m?87~ux9{N(D?~$ayyBmD1>?iW3Oae*iYeG+QtC`6*{dSoFPoz~ zXYWVmBLopWYxX{IXkb#ImHeYU9-cPkKE5&S=l1QJDKFI^CA~G5Y!hcTxVA4jb}}XO zwR?#JIoR3yZe#R>PnGX6oG|lqj)a`c81dU~<$T+R^uHKD*IkuS^6v+BqXVpKJ>i)l zA}9SVKkszct$r`8#_f5-u-Rt$tm!`I(J-gZ`ku$D6FRu+@JBJHu}U$gq%CWg9%p=J z=(1{EOZ@~ka+B+WGEYa7#+yANx#VGDgLK*L+VHcEtFCb=Ayr%f!HLho{IDC4e+Xor z4h0%ebdcM-0OHNl8bVRk^}49CDvv6xJ-%W;b59wkNHB<>zouxvLKeR*PHHJp=<7>Y z=@?Fln9WHkP3=7cwH_BNK6y-Qs_e7E^HZEjU}#+ia<O3{F_f|4rcbP=nav#B|TZ!AC`V<%f?_kQ2Tt72y+;NBgy5#JlR-d z#-B68g1L7H3MLb&=DXNsXgT&5DZTacqS}p(U=(WC@O1%&6!^FZZ+}QUylD>M% znZ>UivNU4X51aCwz?KtFeZh{69K@o4;)Y?yY0CI=8xHh-LtHd-v+>JNE84{}*?8=S zwms5PR|R^UbWeYuC4{;8?In+0om|h<5Pqr?FD5oI!e{90x38Y8lBQ_`$7@dFJ6Dd| zKo*T=e(L=9FE8;{&cv@FvpEwhYB|z^FMv&QQ>xSqjb5J+UX?1Y|3X1#qno&g#%v{v zcM4j-uhH&m`_!-iAez33L%CS+I$j@M(smY)zu|)L}t`;j8X1n)-zkyjE zKQC6-&^Gn7^q^3hS2g;P2PY1>y%T&Aij4p8VvUi$Ktd)FJNZNGO5H|qmFy5W8|ExBn6b1W9UI<^FukDAnATT%j+6eSS1(PeB-FOYu*R zcF-3dP_Y0cY62{rLBkF-OuS0LCzf?)+3DfZ??6LtaSbL2@b;vCzyIu2h#{)+cfUZ$ z$P$Eo&YnKqLiThdb|wSte4I_T3+cNG@)gRa{AcmCh8DXU{rV;*dExRKcAc9t94A?i zp{I=9!&^OP^&Z;jcxwdgWWfy2TO1ovO5sZt zHv`ki=-C+8-J|wtx3pyS)8+cNgypyOcI41@_j@NNV*harAS8osH!p3_U)vNPMF5U# zJjn@#X0=(sY10Px9V)eMOIx{j?m2)}GZO;Yem;7G70PB?za_C>A9weh05?C!`x`Tno2&O5BBWDDRy7g1JV zT|h;Q=prgzrAaVkpNfEpNSB1RB1D=XRUi;hk)=qJqLd&~LQRk=p^8$K4xxl1(o3iz zqyTvr#prwU*Zsab<;=Nf?%X@`J7s7iHXm?R7pefIvxDrou&ivS#t;L*g^qEeTeE%YFf7F zZT?Ryec|0jq8e5K)+htpyNP4G;MU`|3D%^Wf=FCTwU^=vXNW$|^*o>pSYCB@F5aLK z@t{S??FLV9sAuJWumCQZtp!0pD6RTBc?P@!_~x`xi=U*MruC;>yPmWTVcVYNRTB>o zYC*>{XL}}oJ^6*Y+t*2!TBFYPSuiW&Iiuk{6jIFeWOT!h_D0Q-2aPD_CbKdIH+xd% z24D<+vQMU|H4f`ORwhUA*b?1YQFw28dwhJQw!c(R$R}%Nt3m>k5b2;o)^R(N*L3q* z)ctFEZpxE+un$gIPEBcBf?7ilvdpUYAxgo&had)_%~5$rpl?B|Zq^l-2d*b%K1ken zOiXlz9O5&G@c1l(VVo`r4AJuI#9!$)vhXu!R@Cf z_9;1fXaQx5QI{nNV zEzoAi7CZPNk_>g`G`gv41j-F}MrpW*DV|hn9xvb{;}i-p$0YBA3>S>d2zPbd&IqFp z5L?oa?rSzL;1WEoiYZ<7+|6Z4xD4~Ombre735PzRADsmWx2I+ z*VphD_~1-n=foJ@syx` zlIy`L#VN!)Ni`Ld_a!U2=#azc207j%%6Lh)sksHY1aczMeWJ-^xYGN&pdivsAs?#s z8iu+$l2MGTcV{zm&X27*Yk@@&Ft1jWP@u?&vHWG+jKQ@4u0v{A5!C|8LU(}q(WTaw-1femVeOxmOTP_VV4H)vjVLV^m3qY>k!7$(SNuaGxYHgW5tlztlBLb7`T}esH4Lo+HUT zQ*k3$-FfT3oY{6_W$xKdM{yz+HwLH%d-YYZ1UCrXVYm?!qS9Ibfe&Wv(3j(Om)vhn z>$le+S(nM71HN(dpRWS3B6pKw(xf&AxWqaLYaMj9BmArYW0i-EiQ#BFJ|El6TC#5X|FceFeA!kkB(W4*+bJtBmFeZqZ__-9U1{V8KR^k{@yYwY!kTV}=UjO#bUC37dW zF(R`)YAQmJva`ocA=2X?l{HsfMN+OZX!A#`MBS}?B9d9aH&)C#M3w;m(PvN||0iyL z@_hs9=ddtorogL%630fwwH;&YsAAO*SAKnEr%I^D5b#Fj)+FJit# zPB>+1JPd9K^_0r}^rI#KuF;j21o7TfO|7ZfuB($g7M%pu_yqwqGVO$W{>%-E6mh){ zS^|z`kY+?FL7`ClVNNOjz5-{!!{%3XR55JQrbt>^+I6t-7RPW$M?v0R4lRHTp-=Ro zwz+@rV~?VfXd{TvD}E4CD>$Inz{seSX!bX+sql{${Wnd57p!lA988Qx`1VMhc7LRj z0tBS`pS=R4>?>vygp-2W{wlkD*91)KjPOgx;befX$(+2rus)t;h@xWYLi@EjuH^PR zW9EtL+hNY^21dX)V!GRa9+T95^!7kHg4{4olu(Am^hs~_I8h@_~8O5?#lj# zN3Emws;c}0%R-Vv_G_0${FvloiyXl#DL@7Oi;IiE&INEq%)V+JwB9yDvLEIF&Ht^g z9&|c?5UuLB0D7d%ed_4A0vi7*+2)a0-nz1QOkZrJqSgUtdd6;OK)|C=gWKyhq7#0+ z_>adI%-1&H{}LHV0xfJ$C{U8v62~%qZTg5Q_InP(Q2PhHl^vO0+%`9_zRP4klymZd zG0!IyK(~Io@jItZ;2&Wt2{nE7eln{8-}LwN3S%D|EH8j_i-|TPK$S5kv%lAyu+*-u zN_|V5PQPuw=4*aL@7!qr4|RixS5Dm%0|=A&Vm616W3)xf`ENI|FH!`lBY0eQH{oRer^Jd?UBNkGFT6 zd&hT!ng=2%6vFsl(ZU25O8{)glR_mq;Rk*xIGOA@Vlpoyb-x)nF2RqIW-YR783_^X z*Cf8F0uG0t)&zXv;6%T;3g~W|c~Hb=Ccks$m3HO0C4TzcHu~~|9?0AR?EH# zD)`U;Zj?ohf@rNCvGMV_m6fJsrz!XLN5@Tn0_L^4hlM~j+0;jocg#m{3npI^?N}Hc zG!rknvjs4KuBnxQ(SobD$SE^VGAPt1DKn&IznFOvOmhGtws5m_+7woTafyvbBi@#| z^p@9=wHA@#-rZ6w-g%x8YhK<9zSY@Ol*o7Nc}L2oCu*FvPNiraM&q4G8Xi60y-~<> zcFXdPs$uTea+K$V`XziWWF#Ug3T?1%!J58vl3`QPQge1|Tw|fiVzbwE4KJ(7Sesyo ziq>>kK;tXgVjo!8!CZ-nb_zK4Q(lXBN~pzVtPx>|&u`Tc5KL!S5_O!dpDz!k{sQ^w zJGrsS&BG&3`Yysy>gYM)wxe6L%t00nzurDa+-Uh^xD9l;H3jA4F)^3a87(q%mPH5d zu{Qe{;~)1Ei**Z>uM4r5MdG3+HE&>yZd&K)@~jhUJ#XruOY7V1t~t|LY6jI6D{%Su}Z@O`BsIz>2 z;A)$%UoCCKeQIDSb$X?h7JgzrG-ozIddcI^GJ`+X$9`PNmi#$`>`B4K=&}E4zU01a zwXrKB30nnm)p{KjGn|;U(QQVM?-}Mw*cm&NGm6Z?^E`5OGF@D$aG(kDnJBfqy_Y>rw;Gd9=^xobM$#Xj3TpFW6HY2xa_`Hcwb= zpp9`j)6#oc$=P zora!oFPJA8=KNz^k{>A#A9XaX6IPvR!)M(~Z;h9KkUw}vb{b1wt7?ouOt)=SQ!o2P zuiVDC=YRS=f8?rko3-x#9g2AriKe=XG4{HNcCAO+?FMC4-CQ3a{#Cj7uGSdM`rg;fg$g z!wEOfhWy+5M%3rL;WT2Sn>}gPBHv1GY8&lI@xmxM$se2BU=j!fNH08~iaW4wWy!YR zkX+UytWcEO$5y95pV2u1%Ia#gGSY(PgGS@8r#<`#u4S7($e-^8c2y}Zms5UuQb_yx zb*wp?Nd`H;^c`DrQ0%7D=`N~*zZ6jVl`1djSM9VHVUn#Es_M+ERyLAnB#q#lg0dE45nE(I) literal 0 HcmV?d00001 diff --git a/src/feedback_loop.vhd b/src/feedback_loop.vhd index d598eac..d3d2a25 100644 --- a/src/feedback_loop.vhd +++ b/src/feedback_loop.vhd @@ -119,8 +119,7 @@ architecture arch of feedback_loop is end component; --*****CONSTANT DECLARATION***** - constant CONST_MAX : unsigned(DAC_DATA_WIDTH-1 downto 0) := (others => '1'); - constant CONST_HALF : unsigned(DAC_DATA_WIDTH-1 downto 0) := (DAC_DATA_WIDTH-1 => '1', others => '0'); + constant CONST_BIAS : unsigned(DAC_DATA_WIDTH-1 downto 0) := unsigned(BIAS_OFFSET & "0000"); constant FACTOR_ONE : unsigned(FACTOR_WIDTH-1 downto 0) := (FACTOR_WIDTH-1 => '1', others => '0'); --*****SIGNAL DECLARATION***** @@ -251,7 +250,7 @@ begin ) port map( clk => clk, - data_in => (ADC_DATA_WIDTH-1 => '0', others => '1'), + data_in => BIAS_OFFSET, factor => offset_factor1, data_out => scaler_offset_1 ); @@ -299,7 +298,7 @@ begin ) port map( clk => clk, - data_in => (ADC_DATA_WIDTH-1 => '0', others => '1'), + data_in => BIAS_OFFSET, factor => offset_factor2, data_out => scaler_offset_2 ); @@ -358,7 +357,7 @@ begin -- ADD if (addsub_mode_latch = '1') then tmp_res := unsigned("00" & data1_D) + unsigned("00" & data2_D); - tmp_res := tmp_res + ("00" & CONST_HALF); + tmp_res := tmp_res + ("00" & CONST_BIAS); -- Overflow if (tmp_res(DAC_DATA_WIDTH+1) = '1') then data_out <= (others => '1'); @@ -371,7 +370,7 @@ begin -- SUB else tmp_res := unsigned("00" & data1_D) - unsigned("00" & data2_D); - tmp_res := tmp_res + ("00" & CONST_HALF); + tmp_res := tmp_res + ("00" & CONST_BIAS); -- Underflow if (tmp_res(DAC_DATA_WIDTH+1 downto DAC_DATA_WIDTH) = "11") then data_out <= (others => '0'); diff --git a/src/typedef_package.vhd b/src/typedef_package.vhd index 42d45cf..7ad89ea 100644 --- a/src/typedef_package.vhd +++ b/src/typedef_package.vhd @@ -21,6 +21,8 @@ package typedef_package is constant TIMESTAMP_WIDTH : integer := 32; + constant BIAS_OFFSET : std_logic_vector(ADC_DATA_WIDTH-1 downto 0) := (ADC_DATA_WIDTH-1 => '1', others => '0'); + --XILLYBUS constant DEBUG_FIFO_DATA_WIDTH : integer := 32; constant DEBUG_FIFO_DEPTH : integer := 16;