From 268e166b4faee41e9d912954131a0d98412d1dd7 Mon Sep 17 00:00:00 2001 From: Greek Date: Wed, 24 Feb 2021 12:35:52 +0100 Subject: [PATCH] Update Cache Change Generation related Testbench Code Remove littleEndian from Cache Change, and add it as explicit parameter to inline-QoS Generator functions. --- .../Level_0/L0_rtps_reader_test2_tbk.vhd | 22 ++++++------- .../Level_0/L0_rtps_reader_test2_trk.vhd | 22 ++++++------- .../Level_0/L0_rtps_reader_test2_vbk.vhd | 22 ++++++------- .../Level_0/L0_rtps_reader_test2_vrk.vhd | 22 ++++++------- .../Level_0/L0_rtps_reader_test2_vrn.vhd | 22 ++++++------- src/rtps_test_package.vhd | 31 +++++++++---------- 6 files changed, 69 insertions(+), 72 deletions(-) diff --git a/src/Tests/Level_0/L0_rtps_reader_test2_tbk.vhd b/src/Tests/Level_0/L0_rtps_reader_test2_tbk.vhd index 9186017..d2c7669 100644 --- a/src/Tests/Level_0/L0_rtps_reader_test2_tbk.vhd +++ b/src/Tests/Level_0/L0_rtps_reader_test2_tbk.vhd @@ -824,7 +824,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); gen_add_cache_change_dds(cc, life_ts, endpoint.nr, reference); @@ -851,7 +851,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos, PID_LIFESPAN, -1); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos, PID_LIFESPAN, -1); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); start_user_test; @@ -875,7 +875,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos, PID_LIFESPAN, +1); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos, PID_LIFESPAN, +1); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); gen_add_cache_change_dds(cc, life_ts, endpoint.nr, reference); @@ -902,7 +902,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos, PID_STATUS_INFO, -1); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos, PID_STATUS_INFO, -1); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); start_user_test; @@ -926,7 +926,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos, PID_STATUS_INFO, +1); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos, PID_STATUS_INFO, +1); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); gen_add_cache_change_dds(cc, life_ts, endpoint.nr, reference); @@ -953,7 +953,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos, PID_KEY_HASH, -1); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos, PID_KEY_HASH, -1); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); start_user_test; @@ -977,7 +977,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos, PID_KEY_HASH, +1); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos, PID_KEY_HASH, +1); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); gen_add_cache_change_dds(cc, life_ts, endpoint.nr, reference); @@ -1005,7 +1005,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos); + gen_inline_qos(cc, life_ts, '1', sub.inlineQos); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); gen_add_cache_change_dds(cc, life_ts, endpoint.nr, reference); @@ -1033,7 +1033,7 @@ begin cc.kind := NOT_ALIVE_DISPOSED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); gen_add_cache_change_dds(cc, life_ts, endpoint.nr, reference); @@ -1059,7 +1059,7 @@ begin cc := gen_cache_change(sub); cc.kind := NOT_ALIVE_UNREGISTERED; cc.src_timestamp := src_ts; - gen_inline_qos(cc, life_ts, sub.inlineQos); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); gen_add_cache_change_dds(cc, life_ts, endpoint.nr, reference); @@ -1083,7 +1083,7 @@ begin cc := gen_cache_change(sub); cc.kind := NOT_ALIVE_DISPOSED; cc.src_timestamp := src_ts; - gen_inline_qos(cc, life_ts, sub.inlineQos); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); start_user_test; diff --git a/src/Tests/Level_0/L0_rtps_reader_test2_trk.vhd b/src/Tests/Level_0/L0_rtps_reader_test2_trk.vhd index 66eea01..0e81971 100644 --- a/src/Tests/Level_0/L0_rtps_reader_test2_trk.vhd +++ b/src/Tests/Level_0/L0_rtps_reader_test2_trk.vhd @@ -834,7 +834,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); gen_add_cache_change_dds(cc, life_ts, endpoint.nr, reference); @@ -861,7 +861,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos, PID_LIFESPAN, -1); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos, PID_LIFESPAN, -1); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); start_user_test; @@ -885,7 +885,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos, PID_LIFESPAN, +1); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos, PID_LIFESPAN, +1); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); gen_add_cache_change_dds(cc, life_ts, endpoint.nr, reference); @@ -912,7 +912,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos, PID_STATUS_INFO, -1); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos, PID_STATUS_INFO, -1); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); start_user_test; @@ -936,7 +936,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos, PID_STATUS_INFO, +1); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos, PID_STATUS_INFO, +1); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); gen_add_cache_change_dds(cc, life_ts, endpoint.nr, reference); @@ -963,7 +963,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos, PID_KEY_HASH, -1); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos, PID_KEY_HASH, -1); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); start_user_test; @@ -987,7 +987,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos, PID_KEY_HASH, +1); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos, PID_KEY_HASH, +1); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); gen_add_cache_change_dds(cc, life_ts, endpoint.nr, reference); @@ -1015,7 +1015,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos); + gen_inline_qos(cc, life_ts, '1', sub.inlineQos); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); gen_add_cache_change_dds(cc, life_ts, endpoint.nr, reference); @@ -1043,7 +1043,7 @@ begin cc.kind := NOT_ALIVE_DISPOSED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); gen_add_cache_change_dds(cc, life_ts, endpoint.nr, reference); @@ -1069,7 +1069,7 @@ begin cc := gen_cache_change(sub); cc.kind := NOT_ALIVE_UNREGISTERED; cc.src_timestamp := src_ts; - gen_inline_qos(cc, life_ts, sub.inlineQos); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); gen_add_cache_change_dds(cc, life_ts, endpoint.nr, reference); @@ -1093,7 +1093,7 @@ begin cc := gen_cache_change(sub); cc.kind := NOT_ALIVE_DISPOSED; cc.src_timestamp := src_ts; - gen_inline_qos(cc, life_ts, sub.inlineQos); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); start_user_test; diff --git a/src/Tests/Level_0/L0_rtps_reader_test2_vbk.vhd b/src/Tests/Level_0/L0_rtps_reader_test2_vbk.vhd index 4dd9a52..eab2376 100644 --- a/src/Tests/Level_0/L0_rtps_reader_test2_vbk.vhd +++ b/src/Tests/Level_0/L0_rtps_reader_test2_vbk.vhd @@ -805,7 +805,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); gen_add_cache_change_dds(cc, life_ts, endpoint.nr, reference); @@ -832,7 +832,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos, PID_LIFESPAN, -1); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos, PID_LIFESPAN, -1); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); start_user_test; @@ -856,7 +856,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos, PID_LIFESPAN, +1); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos, PID_LIFESPAN, +1); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); gen_add_cache_change_dds(cc, life_ts, endpoint.nr, reference); @@ -883,7 +883,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos, PID_STATUS_INFO, -1); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos, PID_STATUS_INFO, -1); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); start_user_test; @@ -907,7 +907,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos, PID_STATUS_INFO, +1); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos, PID_STATUS_INFO, +1); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); gen_add_cache_change_dds(cc, life_ts, endpoint.nr, reference); @@ -934,7 +934,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos, PID_KEY_HASH, -1); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos, PID_KEY_HASH, -1); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); start_user_test; @@ -958,7 +958,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos, PID_KEY_HASH, +1); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos, PID_KEY_HASH, +1); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); gen_add_cache_change_dds(cc, life_ts, endpoint.nr, reference); @@ -986,7 +986,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos); + gen_inline_qos(cc, life_ts, '1', sub.inlineQos); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); gen_add_cache_change_dds(cc, life_ts, endpoint.nr, reference); @@ -1014,7 +1014,7 @@ begin cc.kind := NOT_ALIVE_DISPOSED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); gen_add_cache_change_dds(cc, life_ts, endpoint.nr, reference); @@ -1040,7 +1040,7 @@ begin cc := gen_cache_change(sub); cc.kind := NOT_ALIVE_UNREGISTERED; cc.src_timestamp := src_ts; - gen_inline_qos(cc, life_ts, sub.inlineQos); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); gen_add_cache_change_dds(cc, life_ts, endpoint.nr, reference); @@ -1064,7 +1064,7 @@ begin cc := gen_cache_change(sub); cc.kind := NOT_ALIVE_DISPOSED; cc.src_timestamp := src_ts; - gen_inline_qos(cc, life_ts, sub.inlineQos); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); start_user_test; diff --git a/src/Tests/Level_0/L0_rtps_reader_test2_vrk.vhd b/src/Tests/Level_0/L0_rtps_reader_test2_vrk.vhd index a965eb4..bf9e655 100644 --- a/src/Tests/Level_0/L0_rtps_reader_test2_vrk.vhd +++ b/src/Tests/Level_0/L0_rtps_reader_test2_vrk.vhd @@ -820,7 +820,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); gen_add_cache_change_dds(cc, life_ts, endpoint.nr, reference); @@ -847,7 +847,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos, PID_LIFESPAN, -1); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos, PID_LIFESPAN, -1); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); start_user_test; @@ -871,7 +871,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos, PID_LIFESPAN, +1); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos, PID_LIFESPAN, +1); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); gen_add_cache_change_dds(cc, life_ts, endpoint.nr, reference); @@ -898,7 +898,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos, PID_STATUS_INFO, -1); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos, PID_STATUS_INFO, -1); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); start_user_test; @@ -922,7 +922,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos, PID_STATUS_INFO, +1); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos, PID_STATUS_INFO, +1); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); gen_add_cache_change_dds(cc, life_ts, endpoint.nr, reference); @@ -949,7 +949,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos, PID_KEY_HASH, -1); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos, PID_KEY_HASH, -1); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); start_user_test; @@ -973,7 +973,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos, PID_KEY_HASH, +1); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos, PID_KEY_HASH, +1); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); gen_add_cache_change_dds(cc, life_ts, endpoint.nr, reference); @@ -1001,7 +1001,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos); + gen_inline_qos(cc, life_ts, '1', sub.inlineQos); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); gen_add_cache_change_dds(cc, life_ts, endpoint.nr, reference); @@ -1029,7 +1029,7 @@ begin cc.kind := NOT_ALIVE_DISPOSED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); gen_add_cache_change_dds(cc, life_ts, endpoint.nr, reference); @@ -1055,7 +1055,7 @@ begin cc := gen_cache_change(sub); cc.kind := NOT_ALIVE_UNREGISTERED; cc.src_timestamp := src_ts; - gen_inline_qos(cc, life_ts, sub.inlineQos); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); gen_add_cache_change_dds(cc, life_ts, endpoint.nr, reference); @@ -1079,7 +1079,7 @@ begin cc := gen_cache_change(sub); cc.kind := NOT_ALIVE_DISPOSED; cc.src_timestamp := src_ts; - gen_inline_qos(cc, life_ts, sub.inlineQos); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); start_user_test; diff --git a/src/Tests/Level_0/L0_rtps_reader_test2_vrn.vhd b/src/Tests/Level_0/L0_rtps_reader_test2_vrn.vhd index 932378b..31735c5 100644 --- a/src/Tests/Level_0/L0_rtps_reader_test2_vrn.vhd +++ b/src/Tests/Level_0/L0_rtps_reader_test2_vrn.vhd @@ -820,7 +820,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); cc.instance := HANDLE_NIL; -- No key Hash due to WITH_KEY=FALSE @@ -848,7 +848,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos, PID_LIFESPAN, -1); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos, PID_LIFESPAN, -1); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); start_user_test; @@ -872,7 +872,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos, PID_LIFESPAN, +1); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos, PID_LIFESPAN, +1); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); cc.instance := HANDLE_NIL; -- No key Hash due to WITH_KEY=FALSE @@ -900,7 +900,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos, PID_STATUS_INFO, -1); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos, PID_STATUS_INFO, -1); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); start_user_test; @@ -924,7 +924,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos, PID_STATUS_INFO, +1); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos, PID_STATUS_INFO, +1); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); cc.instance := HANDLE_NIL; -- No key Hash due to WITH_KEY=FALSE @@ -952,7 +952,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos, PID_KEY_HASH, -1); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos, PID_KEY_HASH, -1); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); start_user_test; @@ -976,7 +976,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos, PID_KEY_HASH, +1); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos, PID_KEY_HASH, +1); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); cc.instance := HANDLE_NIL; -- No key Hash due to WITH_KEY=FALSE @@ -1005,7 +1005,7 @@ begin cc.kind := ALIVE_FILTERED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos); + gen_inline_qos(cc, life_ts, '1', sub.inlineQos); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); cc.instance := HANDLE_NIL; -- No key Hash due to WITH_KEY=FALSE @@ -1034,7 +1034,7 @@ begin cc.kind := NOT_ALIVE_DISPOSED; cc.src_timestamp := src_ts; cc.instance := gen_key_hash; - gen_inline_qos(cc, life_ts, sub.inlineQos); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); cc.instance := HANDLE_NIL; -- No key Hash due to WITH_KEY=FALSE @@ -1061,7 +1061,7 @@ begin cc := gen_cache_change(sub); cc.kind := NOT_ALIVE_UNREGISTERED; cc.src_timestamp := src_ts; - gen_inline_qos(cc, life_ts, sub.inlineQos); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); cc.payload := EMPTY_TEST_PACKET; -- No payload due to WITH_KEY=FALSE @@ -1086,7 +1086,7 @@ begin cc := gen_cache_change(sub); cc.kind := NOT_ALIVE_DISPOSED; cc.src_timestamp := src_ts; - gen_inline_qos(cc, life_ts, sub.inlineQos); + gen_inline_qos(cc, life_ts, '0', sub.inlineQos); gen_sentinel(sub.inlineQos); gen_rtps_handler_out(sub, get_loc(endpoint), FALSE, src_ts, endpoint.participant.guidPrefix, stimulus_user); gen_add_cache_change_dds(cc, life_ts, endpoint.nr, reference); diff --git a/src/rtps_test_package.vhd b/src/rtps_test_package.vhd index 5f3fc06..c809840 100644 --- a/src/rtps_test_package.vhd +++ b/src/rtps_test_package.vhd @@ -213,7 +213,6 @@ package rtps_test_package is type ENDPOINT_DATA_ARRAY_TYPE is array (natural range <>) of ENDPOINT_DATA_TYPE; type CACHE_CHANGE_TYPE is record - littleEndian : std_logic; serialized_key : boolean; kind : CACHE_CHANGE_KIND_TYPE; writer_guid : GUID_TYPE; @@ -260,9 +259,9 @@ package rtps_test_package is procedure gen_sentinel(output : inout TEST_PACKET_TYPE); procedure gen_parameter(pid : in std_logic_vector(PARAMETER_ID_WIDTH-1 downto 0); data : in TEST_PACKET_TYPE; output : inout TEST_PACKET_TYPE); - procedure gen_inline_qos(ref : in CACHE_CHANGE_TYPE; lifespan : DURATION_TYPE; output : inout TEST_PACKET_TYPE; pid : in std_logic_vector(PARAMETER_ID_WIDTH-1 downto 0); offset : in integer); + procedure gen_inline_qos(ref : in CACHE_CHANGE_TYPE; lifespan : DURATION_TYPE; littleEndian : in std_logic; output : inout TEST_PACKET_TYPE; pid : in std_logic_vector(PARAMETER_ID_WIDTH-1 downto 0); offset : in integer); procedure gen_inline_qos(ref : in CACHE_CHANGE_TYPE; output : inout TEST_PACKET_TYPE; pid : in std_logic_vector(PARAMETER_ID_WIDTH-1 downto 0); offset : in integer); - procedure gen_inline_qos(ref : in CACHE_CHANGE_TYPE; lifespan : DURATION_TYPE; output : inout TEST_PACKET_TYPE); + procedure gen_inline_qos(ref : in CACHE_CHANGE_TYPE; lifespan : DURATION_TYPE; littleEndian : in std_logic; output : inout TEST_PACKET_TYPE); procedure gen_inline_qos(ref : in CACHE_CHANGE_TYPE; output : inout TEST_PACKET_TYPE); @@ -510,7 +509,6 @@ package body rtps_test_package is ); constant DEFAULT_CACHE_CHANGE : CACHE_CHANGE_TYPE := ( - littleEndian => '0', serialized_key => FALSE, kind => ALIVE, writer_guid => GUID_UNKNOWN, @@ -1015,7 +1013,6 @@ package body rtps_test_package is variable ret : CACHE_CHANGE_TYPE := DEFAULT_CACHE_CHANGE; begin assert(ref.submessageID = SID_DATA) report "Cache Change can only be derived from DATA Submessages." severity FAILURE; - ret.littleEndian := ref.flags(SUBMESSAGE_ENDIAN_FLAG_POS); ret.seq_nr := ref.writerSN; ret.payload := ref.data; ret.serialized_key := TRUE when (ref.flags(SUBMESSAGE_KEY_FLAG_POS) = '1') else FALSE; @@ -2136,20 +2133,20 @@ package body rtps_test_package is end loop; end procedure; - procedure gen_inline_qos(ref : in CACHE_CHANGE_TYPE; lifespan : in DURATION_TYPE; output : inout TEST_PACKET_TYPE; pid : in std_logic_vector(PARAMETER_ID_WIDTH-1 downto 0); offset : in integer) is + procedure gen_inline_qos(ref : in CACHE_CHANGE_TYPE; lifespan : in DURATION_TYPE; littleEndian : in std_logic; output : inout TEST_PACKET_TYPE; pid : in std_logic_vector(PARAMETER_ID_WIDTH-1 downto 0); offset : in integer) is begin -- Lifespan if (lifespan /= DEFAULT_LIFESPAN_QOS or pid = PID_LIFESPAN) then if (pid = PID_LIFESPAN) then assert (8+(offset*4) >= 0) report "Parameter Length < 0" severity FAILURE; - output.data(output.length) := PID_LIFESPAN & endian_swap(ref.littleEndian, int(8+(offset*4),PARAMETER_LENGTH_WIDTH)); + output.data(output.length) := PID_LIFESPAN & endian_swap(littleEndian, int(8+(offset*4),PARAMETER_LENGTH_WIDTH)); else - output.data(output.length) := PID_LIFESPAN & endian_swap(ref.littleEndian, int(8,PARAMETER_LENGTH_WIDTH)); + output.data(output.length) := PID_LIFESPAN & endian_swap(littleEndian, int(8,PARAMETER_LENGTH_WIDTH)); end if; output.length := output.length + 1; - output.data(output.length) := endian_swap(ref.littleEndian, std_logic_vector(lifespan(0))); + output.data(output.length) := endian_swap(littleEndian, std_logic_vector(lifespan(0))); output.length := output.length + 1; - output.data(output.length) := endian_swap(ref.littleEndian, std_logic_vector(lifespan(1))); + output.data(output.length) := endian_swap(littleEndian, std_logic_vector(lifespan(1))); output.length := output.length + 1; if (pid = PID_LIFESPAN) then output.length := output.length + offset; @@ -2159,9 +2156,9 @@ package body rtps_test_package is if (ref.kind /= ALIVE or pid = PID_STATUS_INFO) then if (pid = PID_STATUS_INFO) then assert (4+(offset*4) >= 0) report "Parameter Length < 0" severity FAILURE; - output.data(output.length) := PID_STATUS_INFO & endian_swap(ref.littleEndian, int(4+(offset*4),PARAMETER_LENGTH_WIDTH)); + output.data(output.length) := PID_STATUS_INFO & endian_swap(littleEndian, int(4+(offset*4),PARAMETER_LENGTH_WIDTH)); else - output.data(output.length) := PID_STATUS_INFO & endian_swap(ref.littleEndian, int(4,PARAMETER_LENGTH_WIDTH)); + output.data(output.length) := PID_STATUS_INFO & endian_swap(littleEndian, int(4,PARAMETER_LENGTH_WIDTH)); end if; output.length := output.length + 1; output.data(output.length) := (others => '0'); @@ -2184,9 +2181,9 @@ package body rtps_test_package is if (ref.instance /= HANDLE_NIL or pid = PID_KEY_HASH) then if (pid = PID_KEY_HASH) then assert (16+(offset*4) >= 0) report "Parameter Length < 0" severity FAILURE; - output.data(output.length) := PID_KEY_HASH & endian_swap(ref.littleEndian, int(16+(offset*4),PARAMETER_LENGTH_WIDTH)); + output.data(output.length) := PID_KEY_HASH & endian_swap(littleEndian, int(16+(offset*4),PARAMETER_LENGTH_WIDTH)); else - output.data(output.length) := PID_KEY_HASH & endian_swap(ref.littleEndian, int(16,PARAMETER_LENGTH_WIDTH)); + output.data(output.length) := PID_KEY_HASH & endian_swap(littleEndian, int(16,PARAMETER_LENGTH_WIDTH)); end if; output.length := output.length + 1; output.data(output.length) := ref.instance(0); @@ -2205,12 +2202,12 @@ package body rtps_test_package is procedure gen_inline_qos(ref : in CACHE_CHANGE_TYPE; output : inout TEST_PACKET_TYPE; pid : in std_logic_vector(PARAMETER_ID_WIDTH-1 downto 0); offset : in integer) is begin - gen_inline_qos(ref,DEFAULT_LIFESPAN_QOS,output,pid,offset); + gen_inline_qos(ref,DEFAULT_LIFESPAN_QOS,'0',output,pid,offset); end procedure; - procedure gen_inline_qos(ref : in CACHE_CHANGE_TYPE; lifespan : DURATION_TYPE; output : inout TEST_PACKET_TYPE) is + procedure gen_inline_qos(ref : in CACHE_CHANGE_TYPE; lifespan : DURATION_TYPE; littleEndian : in std_logic; output : inout TEST_PACKET_TYPE) is begin - gen_inline_qos(ref,lifespan,output,PID_PAD,0); + gen_inline_qos(ref,lifespan,littleEndian,output,PID_PAD,0); end procedure; procedure gen_inline_qos(ref : in CACHE_CHANGE_TYPE; output : inout TEST_PACKET_TYPE) is