diff --git a/src/TEMPLATE_writer_interface.vhd b/src/TEMPLATE_writer_interface.vhd index 27c0708..ec2131c 100644 --- a/src/TEMPLATE_writer_interface.vhd +++ b/src/TEMPLATE_writer_interface.vhd @@ -20,12 +20,12 @@ entity TYPENAME_writer_interface is start_dds : out std_logic; ack_dds : in std_logic; opcode_dds : out DDS_WRITER_OPCODE_TYPE; - instance_handle_out_dds : out INSTANCE_HANDLE_TYPE; + instance_handle_in_dds : out INSTANCE_HANDLE_TYPE; source_ts_dds : out TIME_TYPE; max_wait_dds : out DURATION_TYPE; done_dds : in std_logic; return_code_dds : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0); - instance_handle_in_dds : in INSTANCE_HANDLE_TYPE; + instance_handle_out_dds : in INSTANCE_HANDLE_TYPE; valid_out_dds : out std_logic; ready_out_dds : in std_logic; data_out_dds : out std_logic_vector(WORD_WIDTH-1 downto 0); @@ -93,12 +93,12 @@ begin start_dds <= start_user; ack_user <= ack_dds; opcode_dds <= opcode_user; - instance_handle_out_dds <= instance_handle_in_user; + instance_handle_in_dds <= instance_handle_in_user; source_ts_dds <= source_ts_user; max_wait_dds <= max_wait_user; done_user <= done_dds; return_code_user <= return_code_dds; - instance_handle_out_user <= instance_handle_in_dds; + instance_handle_out_user <= instance_handle_out_dds; status_user <= status_dds; -- ###GENERATED START### diff --git a/src/Tests/Level_1/L1_Type1_interface_test1.vhd b/src/Tests/Level_1/L1_Type1_interface_test1.vhd index ce37547..3e07e61 100644 --- a/src/Tests/Level_1/L1_Type1_interface_test1.vhd +++ b/src/Tests/Level_1/L1_Type1_interface_test1.vhd @@ -51,12 +51,12 @@ begin start_dds => open, ack_dds => '1', opcode_dds => open, - instance_handle_out_dds => open, + instance_handle_out_dds => HANDLE_NIL, source_ts_dds => open, max_wait_dds => open, done_dds => '1', return_code_dds => RETCODE_OK, - instance_handle_in_dds => HANDLE_NIL, + instance_handle_in_dds => open, ready_out_dds => ready, valid_out_dds => valid, data_out_dds => data, diff --git a/src/Tests/Level_1/L1_Type1_interface_test2.vhd b/src/Tests/Level_1/L1_Type1_interface_test2.vhd index 7fcf44e..a8d35c0 100644 --- a/src/Tests/Level_1/L1_Type1_interface_test2.vhd +++ b/src/Tests/Level_1/L1_Type1_interface_test2.vhd @@ -54,12 +54,12 @@ begin start_dds => open, ack_dds => '1', opcode_dds => open, - instance_handle_out_dds => open, + instance_handle_out_dds => HANDLE_NIL, source_ts_dds => open, max_wait_dds => open, done_dds => '1', return_code_dds => RETCODE_OK, - instance_handle_in_dds => HANDLE_NIL, + instance_handle_in_dds => open, ready_out_dds => ready, valid_out_dds => valid, data_out_dds => data, diff --git a/src/Tests/Level_1/L1_Type1_key_holder_test1.vhd b/src/Tests/Level_1/L1_Type1_key_holder_test1.vhd index 2592aa8..e60bd8f 100644 --- a/src/Tests/Level_1/L1_Type1_key_holder_test1.vhd +++ b/src/Tests/Level_1/L1_Type1_key_holder_test1.vhd @@ -41,12 +41,12 @@ begin start_dds => open, ack_dds => '1', opcode_dds => open, - instance_handle_out_dds => open, + instance_handle_out_dds => HANDLE_NIL, source_ts_dds => open, max_wait_dds => open, done_dds => '1', return_code_dds => RETCODE_OK, - instance_handle_in_dds => HANDLE_NIL, + instance_handle_in_dds => open, ready_out_dds => ready_w, valid_out_dds => valid_w, data_out_dds => data_w, diff --git a/src/Tests/Level_1/L1_Type1_key_holder_test2.vhd b/src/Tests/Level_1/L1_Type1_key_holder_test2.vhd index befda87..d98c8ca 100644 --- a/src/Tests/Level_1/L1_Type1_key_holder_test2.vhd +++ b/src/Tests/Level_1/L1_Type1_key_holder_test2.vhd @@ -44,12 +44,12 @@ begin start_dds => open, ack_dds => '1', opcode_dds => open, - instance_handle_out_dds => open, + instance_handle_out_dds => HANDLE_NIL, source_ts_dds => open, max_wait_dds => open, done_dds => '1', return_code_dds => RETCODE_OK, - instance_handle_in_dds => HANDLE_NIL, + instance_handle_in_dds => open, ready_out_dds => ready_w, valid_out_dds => valid_w, data_out_dds => data_w, diff --git a/src/Tests/Level_1/L1_Type2_interface_test1.vhd b/src/Tests/Level_1/L1_Type2_interface_test1.vhd index 2fcd15b..c5f2c38 100644 --- a/src/Tests/Level_1/L1_Type2_interface_test1.vhd +++ b/src/Tests/Level_1/L1_Type2_interface_test1.vhd @@ -73,12 +73,12 @@ begin start_dds => open, ack_dds => '1', opcode_dds => open, - instance_handle_out_dds => open, + instance_handle_out_dds => HANDLE_NIL, source_ts_dds => open, max_wait_dds => open, done_dds => '1', return_code_dds => RETCODE_OK, - instance_handle_in_dds => HANDLE_NIL, + instance_handle_in_dds => open, ready_out_dds => ready, valid_out_dds => valid, data_out_dds => data, diff --git a/src/Tests/Level_1/L1_Type2_interface_test2.vhd b/src/Tests/Level_1/L1_Type2_interface_test2.vhd index fc3bddb..03110f9 100644 --- a/src/Tests/Level_1/L1_Type2_interface_test2.vhd +++ b/src/Tests/Level_1/L1_Type2_interface_test2.vhd @@ -76,12 +76,12 @@ begin start_dds => open, ack_dds => '1', opcode_dds => open, - instance_handle_out_dds => open, + instance_handle_out_dds => HANDLE_NIL, source_ts_dds => open, max_wait_dds => open, done_dds => '1', return_code_dds => RETCODE_OK, - instance_handle_in_dds => HANDLE_NIL, + instance_handle_in_dds => open, ready_out_dds => ready, valid_out_dds => valid, data_out_dds => data, diff --git a/src/Tests/Level_1/L1_Type2_key_holder_test1.vhd b/src/Tests/Level_1/L1_Type2_key_holder_test1.vhd index f50fe26..8329b09 100644 --- a/src/Tests/Level_1/L1_Type2_key_holder_test1.vhd +++ b/src/Tests/Level_1/L1_Type2_key_holder_test1.vhd @@ -63,12 +63,12 @@ begin start_dds => open, ack_dds => '1', opcode_dds => open, - instance_handle_out_dds => open, + instance_handle_out_dds => HANDLE_NIL, source_ts_dds => open, max_wait_dds => open, done_dds => '1', return_code_dds => RETCODE_OK, - instance_handle_in_dds => HANDLE_NIL, + instance_handle_in_dds => open, ready_out_dds => ready_w, valid_out_dds => valid_w, data_out_dds => data_w, diff --git a/src/Tests/Level_1/L1_Type2_key_holder_test2.vhd b/src/Tests/Level_1/L1_Type2_key_holder_test2.vhd index cf8646c..9ec2ec1 100644 --- a/src/Tests/Level_1/L1_Type2_key_holder_test2.vhd +++ b/src/Tests/Level_1/L1_Type2_key_holder_test2.vhd @@ -66,12 +66,12 @@ begin start_dds => open, ack_dds => '1', opcode_dds => open, - instance_handle_out_dds => open, + instance_handle_out_dds => HANDLE_NIL, source_ts_dds => open, max_wait_dds => open, done_dds => '1', return_code_dds => RETCODE_OK, - instance_handle_in_dds => HANDLE_NIL, + instance_handle_in_dds => open, ready_out_dds => ready_w, valid_out_dds => valid_w, data_out_dds => data_w, diff --git a/src/Tests/Level_2/L2_Testbench_Lib2.vhd b/src/Tests/Level_2/L2_Testbench_Lib2.vhd index d41fa69..0fdb9ae 100644 --- a/src/Tests/Level_2/L2_Testbench_Lib2.vhd +++ b/src/Tests/Level_2/L2_Testbench_Lib2.vhd @@ -169,12 +169,12 @@ begin start_dds => start_wr_dds, ack_dds => ack_wr_dds, opcode_dds => opcode_wr_dds, - instance_handle_in_dds => instance_handle_out_wr_dds, + instance_handle_in_dds => instance_handle_in_wr_dds, source_ts_dds => source_ts_wr_dds, max_wait_dds => max_wait_wr_dds, done_dds => done_wr_dds, return_code_dds => return_code_wr_dds, - instance_handle_out_dds => instance_handle_in_wr_dds, + instance_handle_out_dds => instance_handle_out_wr_dds, ready_in_dds => ready_out_wr_dds, valid_in_dds => valid_out_wr_dds, data_in_dds => data_out_wr_dds, diff --git a/src/Tests/Level_2/L2_testbench_Lib4.vhd b/src/Tests/Level_2/L2_testbench_Lib4.vhd index 369a39c..3ccc96a 100644 --- a/src/Tests/Level_2/L2_testbench_Lib4.vhd +++ b/src/Tests/Level_2/L2_testbench_Lib4.vhd @@ -608,12 +608,12 @@ begin start_dds => start_wi_dw(0), ack_dds => ack_dw_wi(0), opcode_dds => opcode_wi_dw(0), - instance_handle_in_dds => instance_handle_dw_wi(0), + instance_handle_in_dds => instance_handle_wi_dw(0), source_ts_dds => source_ts_wi_dw(0), max_wait_dds => max_wait_wi_dw(0), done_dds => done_dw_wi(0), return_code_dds => return_code_dw_wi(0), - instance_handle_out_dds => instance_handle_wi_dw(0), + instance_handle_out_dds => instance_handle_dw_wi(0), valid_out_dds => valid_wi_dw(0), ready_out_dds => ready_dw_wi(0), data_out_dds => data_wi_dw(0)(WORD_WIDTH-1 downto 0), diff --git a/src/Tests/Level_2/L2_testbench_Lib5.vhd b/src/Tests/Level_2/L2_testbench_Lib5.vhd index 81f4cbb..b815fa8 100644 --- a/src/Tests/Level_2/L2_testbench_Lib5.vhd +++ b/src/Tests/Level_2/L2_testbench_Lib5.vhd @@ -624,12 +624,12 @@ begin start_dds => start_wi_dw(0), ack_dds => ack_dw_wi(0), opcode_dds => opcode_wi_dw(0), - instance_handle_in_dds => instance_handle_dw_wi(0), + instance_handle_in_dds => instance_handle_wi_dw(0), source_ts_dds => source_ts_wi_dw(0), max_wait_dds => max_wait_wi_dw(0), done_dds => done_dw_wi(0), return_code_dds => return_code_dw_wi(0), - instance_handle_out_dds => instance_handle_wi_dw(0), + instance_handle_out_dds => instance_handle_dw_wi(0), valid_out_dds => valid_wi_dw(0), ready_out_dds => ready_dw_wi(0), data_out_dds => data_wi_dw(0)(WORD_WIDTH-1 downto 0), diff --git a/src/Tests/Type1_writer_interface.vhd b/src/Tests/Type1_writer_interface.vhd index 0059b25..5134034 100644 --- a/src/Tests/Type1_writer_interface.vhd +++ b/src/Tests/Type1_writer_interface.vhd @@ -21,12 +21,12 @@ entity Type1_writer_interface is start_dds : out std_logic; ack_dds : in std_logic; opcode_dds : out DDS_WRITER_OPCODE_TYPE; - instance_handle_out_dds : out INSTANCE_HANDLE_TYPE; + instance_handle_in_dds : out INSTANCE_HANDLE_TYPE; source_ts_dds : out TIME_TYPE; max_wait_dds : out DURATION_TYPE; done_dds : in std_logic; return_code_dds : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0); - instance_handle_in_dds : in INSTANCE_HANDLE_TYPE; + instance_handle_out_dds : in INSTANCE_HANDLE_TYPE; ready_out_dds : in std_logic; valid_out_dds : out std_logic; data_out_dds : out std_logic_vector(WORD_WIDTH-1 downto 0); @@ -88,12 +88,12 @@ begin start_dds <= start_user; ack_user <= ack_dds; opcode_dds <= opcode_user; - instance_handle_out_dds <= instance_handle_in_user; + instance_handle_in_dds <= instance_handle_in_user; source_ts_dds <= source_ts_user; max_wait_dds <= max_wait_user; done_user <= done_dds; return_code_user <= return_code_dds; - instance_handle_out_user <= instance_handle_in_dds; + instance_handle_out_user <= instance_handle_out_dds; status_user <= status_dds; main_prc : process (all) diff --git a/src/Tests/Type2_writer_interface.vhd b/src/Tests/Type2_writer_interface.vhd index 9dc5b4c..6147048 100644 --- a/src/Tests/Type2_writer_interface.vhd +++ b/src/Tests/Type2_writer_interface.vhd @@ -21,12 +21,12 @@ entity Type2_writer_interface is start_dds : out std_logic; ack_dds : in std_logic; opcode_dds : out DDS_WRITER_OPCODE_TYPE; - instance_handle_out_dds : out INSTANCE_HANDLE_TYPE; + instance_handle_in_dds : out INSTANCE_HANDLE_TYPE; source_ts_dds : out TIME_TYPE; max_wait_dds : out DURATION_TYPE; done_dds : in std_logic; return_code_dds : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0); - instance_handle_in_dds : in INSTANCE_HANDLE_TYPE; + instance_handle_out_dds : in INSTANCE_HANDLE_TYPE; ready_out_dds : in std_logic; valid_out_dds : out std_logic; data_out_dds : out std_logic_vector(WORD_WIDTH-1 downto 0); @@ -344,12 +344,12 @@ begin start_dds <= start_user; ack_user <= ack_dds; opcode_dds <= opcode_user; - instance_handle_out_dds <= instance_handle_in_user; + instance_handle_in_dds <= instance_handle_in_user; source_ts_dds <= source_ts_user; max_wait_dds <= max_wait_user; done_user <= done_dds; return_code_user <= return_code_dds; - instance_handle_out_user <= instance_handle_in_dds; + instance_handle_out_user <= instance_handle_out_dds; status_user <= status_dds; -- ###GENERATED START### diff --git a/src/ros2/TEMPLATE_ros_pub.vhd b/src/ros2/TEMPLATE_ros_pub.vhd index 6234b50..826b913 100644 --- a/src/ros2/TEMPLATE_ros_pub.vhd +++ b/src/ros2/TEMPLATE_ros_pub.vhd @@ -21,12 +21,12 @@ entity TEMPLATE_pub is start_dds : out std_logic; ack_dds : in std_logic; opcode_dds : out DDS_WRITER_OPCODE_TYPE; - instance_handle_out_dds : out INSTANCE_HANDLE_TYPE; + instance_handle_in_dds : out INSTANCE_HANDLE_TYPE; source_ts_dds : out TIME_TYPE; max_wait_dds : out DURATION_TYPE; done_dds : in std_logic; return_code_dds : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0); - instance_handle_in_dds : in INSTANCE_HANDLE_TYPE; + instance_handle_out_dds : in INSTANCE_HANDLE_TYPE; valid_out_dds : out std_logic; ready_out_dds : in std_logic; data_out_dds : out std_logic_vector(WORD_WIDTH-1 downto 0); @@ -84,7 +84,7 @@ begin -- ###GENERATED END### -- PASSTHROUGH - instance_handle_out_dds <= HANDLE_NIL; + instance_handle_in_dds <= HANDLE_NIL; source_ts_dds <= TIME_INVALID; max_wait_dds <= DURATION_ZERO; ready_in_dds <= '0'; -- DDS Writer Input is unused diff --git a/src/ros2/TEMPLATE_ros_srv_client.vhd b/src/ros2/TEMPLATE_ros_srv_client.vhd index 0c2c7f2..38b1715 100644 --- a/src/ros2/TEMPLATE_ros_srv_client.vhd +++ b/src/ros2/TEMPLATE_ros_srv_client.vhd @@ -42,12 +42,12 @@ entity TEMPLATE_srv_client is start_w : out std_logic; ack_w : in std_logic; opcode_w : out DDS_WRITER_OPCODE_TYPE; - instance_handle_out_w : out INSTANCE_HANDLE_TYPE; + instance_handle_in_w : out INSTANCE_HANDLE_TYPE; source_ts_w : out TIME_TYPE; max_wait_w : out DURATION_TYPE; done_w : in std_logic; return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0); - instance_handle_in_w : in INSTANCE_HANDLE_TYPE; + instance_handle_out_w : in INSTANCE_HANDLE_TYPE; valid_out_w : out std_logic; ready_out_w : in std_logic; data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0); @@ -135,7 +135,7 @@ begin sample_state_r <= ANY_SAMPLE_STATE; instance_handle_r <= HANDLE_NIL; max_samples_r <= (others => '0'); - instance_handle_out_w <= HANDLE_NIL; + instance_handle_in_w <= HANDLE_NIL; source_ts_w <= TIME_INVALID; max_wait_w <= DURATION_ZERO; ready_in_w <= '0'; -- DDS Writer Input is unused diff --git a/src/ros2/TEMPLATE_ros_srv_server.vhd b/src/ros2/TEMPLATE_ros_srv_server.vhd index 98e86d8..4bf1249 100644 --- a/src/ros2/TEMPLATE_ros_srv_server.vhd +++ b/src/ros2/TEMPLATE_ros_srv_server.vhd @@ -42,12 +42,12 @@ entity TEMPLATE_srv_server is start_w : out std_logic; ack_w : in std_logic; opcode_w : out DDS_WRITER_OPCODE_TYPE; - instance_handle_out_w : out INSTANCE_HANDLE_TYPE; + instance_handle_in_w : out INSTANCE_HANDLE_TYPE; source_ts_w : out TIME_TYPE; max_wait_w : out DURATION_TYPE; done_w : in std_logic; return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0); - instance_handle_in_w : in INSTANCE_HANDLE_TYPE; + instance_handle_out_w : in INSTANCE_HANDLE_TYPE; valid_out_w : out std_logic; ready_out_w : in std_logic; data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0); @@ -135,7 +135,7 @@ begin sample_state_r <= ANY_SAMPLE_STATE; instance_handle_r <= HANDLE_NIL; max_samples_r <= (others => '0'); - instance_handle_out_w <= HANDLE_NIL; + instance_handle_in_w <= HANDLE_NIL; source_ts_w <= TIME_INVALID; max_wait_w <= DURATION_ZERO; ready_in_w <= '0'; -- DDS Writer Input is unused diff --git a/src/ros2/Tests/Level_0/L0_ros_action_server_test1.vhd b/src/ros2/Tests/Level_0/L0_ros_action_server_test1.vhd index 06f1af5..6f65bc6 100644 --- a/src/ros2/Tests/Level_0/L0_ros_action_server_test1.vhd +++ b/src/ros2/Tests/Level_0/L0_ros_action_server_test1.vhd @@ -315,12 +315,12 @@ begin start_w => open, ack_w => '0', opcode_w => open, - instance_handle_out_w => open, + instance_handle_out_w => HANDLE_NIL, source_ts_w => open, max_wait_w => open, done_w => '0', return_code_w => (others => '0'), - instance_handle_in_w => HANDLE_NIL, + instance_handle_in_w => open, valid_out_w => open, ready_out_w => '0', data_out_w => open, @@ -362,12 +362,12 @@ begin start_dds => open, ack_dds => '0', opcode_dds => open, - instance_handle_out_dds => open, + instance_handle_out_dds => HANDLE_NIL, source_ts_dds => open, max_wait_dds => open, done_dds => '0', return_code_dds => (others => '0'), - instance_handle_in_dds => HANDLE_NIL, + instance_handle_in_dds => open, valid_out_dds => open, ready_out_dds => '0', data_out_dds => open, diff --git a/src/ros2/Tests/Level_0/L0_ros_action_server_test2.vhd b/src/ros2/Tests/Level_0/L0_ros_action_server_test2.vhd index 7f451df..813c415 100644 --- a/src/ros2/Tests/Level_0/L0_ros_action_server_test2.vhd +++ b/src/ros2/Tests/Level_0/L0_ros_action_server_test2.vhd @@ -312,12 +312,12 @@ begin start_w => open, ack_w => '0', opcode_w => open, - instance_handle_out_w => open, + instance_handle_out_w => HANDLE_NIL, source_ts_w => open, max_wait_w => open, done_w => '0', return_code_w => (others => '0'), - instance_handle_in_w => HANDLE_NIL, + instance_handle_in_w => open, valid_out_w => open, ready_out_w => '0', data_out_w => open, @@ -359,12 +359,12 @@ begin start_dds => open, ack_dds => '0', opcode_dds => open, - instance_handle_out_dds => open, + instance_handle_out_dds => HANDLE_NIL, source_ts_dds => open, max_wait_dds => open, done_dds => '0', return_code_dds => (others => '0'), - instance_handle_in_dds => HANDLE_NIL, + instance_handle_in_dds => open, valid_out_dds => open, ready_out_dds => '0', data_out_dds => open, diff --git a/src/ros2/Tests/Level_1/L1_AddTwoInts_ros_srv_test1.vhd b/src/ros2/Tests/Level_1/L1_AddTwoInts_ros_srv_test1.vhd index ae9804b..30da4ac 100644 --- a/src/ros2/Tests/Level_1/L1_AddTwoInts_ros_srv_test1.vhd +++ b/src/ros2/Tests/Level_1/L1_AddTwoInts_ros_srv_test1.vhd @@ -81,12 +81,12 @@ begin start_w => open,-- ack_w => '1',-- opcode_w => open, - instance_handle_out_w => open, + instance_handle_out_w => HANDLE_NIL, source_ts_w => open, max_wait_w => open, done_w => '1',-- return_code_w => return_code_cw, - instance_handle_in_w => HANDLE_NIL, + instance_handle_in_w => open, valid_out_w => valid_rq,-- ready_out_w => ready_cw,--ready_rq,-- data_out_w => data_rq,-- @@ -137,12 +137,12 @@ begin start_w => open,-- ack_w => '1',-- opcode_w => open, - instance_handle_out_w => open, + instance_handle_out_w => HANDLE_NIL, source_ts_w => open, max_wait_w => open, done_w => '1',-- return_code_w => return_code_sw,-- - instance_handle_in_w => HANDLE_NIL, + instance_handle_in_w => open, valid_out_w => valid_rr,-- ready_out_w => ready_sw,--ready_rr,-- data_out_w => data_rr,-- diff --git a/src/ros2/Tests/Level_1/L1_AddTwoInts_ros_srv_test2.vhd b/src/ros2/Tests/Level_1/L1_AddTwoInts_ros_srv_test2.vhd index 427268e..884362d 100644 --- a/src/ros2/Tests/Level_1/L1_AddTwoInts_ros_srv_test2.vhd +++ b/src/ros2/Tests/Level_1/L1_AddTwoInts_ros_srv_test2.vhd @@ -84,12 +84,12 @@ begin start_w => open,-- ack_w => '1',-- opcode_w => open, - instance_handle_out_w => open, + instance_handle_out_w => HANDLE_NIL, source_ts_w => open, max_wait_w => open, done_w => '1',-- return_code_w => return_code_cw, - instance_handle_in_w => HANDLE_NIL, + instance_handle_in_w => open, valid_out_w => valid_rq,-- ready_out_w => ready_cw,--ready_rq,-- data_out_w => data_rq,-- @@ -143,12 +143,12 @@ begin start_w => open,-- ack_w => '1',-- opcode_w => open, - instance_handle_out_w => open, + instance_handle_out_w => HANDLE_NIL, source_ts_w => open, max_wait_w => open, done_w => '1',-- return_code_w => return_code_sw,-- - instance_handle_in_w => HANDLE_NIL, + instance_handle_in_w => open, valid_out_w => valid_rr,-- ready_out_w => ready_sw,--ready_rr,-- data_out_w => data_rr,-- diff --git a/src/ros2/Tests/Level_1/L1_CancelGoal_ros_srv_test1.vhd b/src/ros2/Tests/Level_1/L1_CancelGoal_ros_srv_test1.vhd index b82358e..836649a 100644 --- a/src/ros2/Tests/Level_1/L1_CancelGoal_ros_srv_test1.vhd +++ b/src/ros2/Tests/Level_1/L1_CancelGoal_ros_srv_test1.vhd @@ -90,12 +90,12 @@ begin start_w => open,-- ack_w => '1',-- opcode_w => open, - instance_handle_out_w => open, + instance_handle_out_w => HANDLE_NIL, source_ts_w => open, max_wait_w => open, done_w => '1',-- return_code_w => return_code_cw, - instance_handle_in_w => HANDLE_NIL, + instance_handle_in_w => open, valid_out_w => valid_rq,-- ready_out_w => ready_cw,--ready_rq,-- data_out_w => data_rq,-- @@ -154,12 +154,12 @@ begin start_w => open,-- ack_w => '1',-- opcode_w => open, - instance_handle_out_w => open, + instance_handle_out_w => HANDLE_NIL, source_ts_w => open, max_wait_w => open, done_w => '1',-- return_code_w => return_code_sw,-- - instance_handle_in_w => HANDLE_NIL, + instance_handle_in_w => open, valid_out_w => valid_rr,-- ready_out_w => ready_sw,--ready_rr,-- data_out_w => data_rr,-- diff --git a/src/ros2/Tests/Level_1/L1_CancelGoal_ros_srv_test2.vhd b/src/ros2/Tests/Level_1/L1_CancelGoal_ros_srv_test2.vhd index 1fec64c..83a4719 100644 --- a/src/ros2/Tests/Level_1/L1_CancelGoal_ros_srv_test2.vhd +++ b/src/ros2/Tests/Level_1/L1_CancelGoal_ros_srv_test2.vhd @@ -93,12 +93,12 @@ begin start_w => open,-- ack_w => '1',-- opcode_w => open, - instance_handle_out_w => open, + instance_handle_out_w => HANDLE_NIL, source_ts_w => open, max_wait_w => open, done_w => '1',-- return_code_w => return_code_cw, - instance_handle_in_w => HANDLE_NIL, + instance_handle_in_w => open, valid_out_w => valid_rq,-- ready_out_w => ready_cw,--ready_rq,-- data_out_w => data_rq,-- @@ -160,12 +160,12 @@ begin start_w => open,-- ack_w => '1',-- opcode_w => open, - instance_handle_out_w => open, + instance_handle_out_w => HANDLE_NIL, source_ts_w => open, max_wait_w => open, done_w => '1',-- return_code_w => return_code_sw,-- - instance_handle_in_w => HANDLE_NIL, + instance_handle_in_w => open, valid_out_w => valid_rr,-- ready_out_w => ready_sw,--ready_rr,-- data_out_w => data_rr,-- diff --git a/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_feedback_test1.vhd b/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_feedback_test1.vhd index 96e3a15..a4e429f 100644 --- a/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_feedback_test1.vhd +++ b/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_feedback_test1.vhd @@ -106,12 +106,12 @@ begin start_dds => open,-- ack_dds => '1',-- opcode_dds => open, - instance_handle_out_dds => open, + instance_handle_out_dds => HANDLE_NIL, source_ts_dds => open, max_wait_dds => open, done_dds => '1',-- return_code_dds => return_code_w,-- - instance_handle_in_dds => HANDLE_NIL, + instance_handle_in_dds => open, valid_out_dds => valid,-- ready_out_dds => ready_w,--ready,-- data_out_dds => data,-- diff --git a/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_feedback_test2.vhd b/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_feedback_test2.vhd index 5f91a84..6911add 100644 --- a/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_feedback_test2.vhd +++ b/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_feedback_test2.vhd @@ -109,12 +109,12 @@ begin start_dds => open,-- ack_dds => '1',-- opcode_dds => open, - instance_handle_out_dds => open, + instance_handle_out_dds => HANDLE_NIL, source_ts_dds => open, max_wait_dds => open, done_dds => '1',-- return_code_dds => return_code_w,-- - instance_handle_in_dds => HANDLE_NIL, + instance_handle_in_dds => open, valid_out_dds => valid,-- ready_out_dds => ready_w,--ready,-- data_out_dds => data,-- diff --git a/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_goal_srv_test1.vhd b/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_goal_srv_test1.vhd index c7da263..2e6a3a9 100644 --- a/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_goal_srv_test1.vhd +++ b/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_goal_srv_test1.vhd @@ -85,12 +85,12 @@ begin start_w => open,-- ack_w => '1',-- opcode_w => open, - instance_handle_out_w => open, + instance_handle_out_w => HANDLE_NIL, source_ts_w => open, max_wait_w => open, done_w => '1',-- return_code_w => return_code_cw, - instance_handle_in_w => HANDLE_NIL, + instance_handle_in_w => open, valid_out_w => valid_rq,-- ready_out_w => ready_cw,--ready_rq,-- data_out_w => data_rq,-- @@ -142,12 +142,12 @@ begin start_w => open,-- ack_w => '1',-- opcode_w => open, - instance_handle_out_w => open, + instance_handle_out_w => HANDLE_NIL, source_ts_w => open, max_wait_w => open, done_w => '1',-- return_code_w => return_code_sw,-- - instance_handle_in_w => HANDLE_NIL, + instance_handle_in_w => open, valid_out_w => valid_rr,-- ready_out_w => ready_sw,--ready_rr,-- data_out_w => data_rr,-- diff --git a/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_goal_srv_test2.vhd b/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_goal_srv_test2.vhd index 82c22ae..9b5a2b7 100644 --- a/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_goal_srv_test2.vhd +++ b/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_goal_srv_test2.vhd @@ -88,12 +88,12 @@ begin start_w => open,-- ack_w => '1',-- opcode_w => open, - instance_handle_out_w => open, + instance_handle_out_w => HANDLE_NIL, source_ts_w => open, max_wait_w => open, done_w => '1',-- return_code_w => return_code_cw, - instance_handle_in_w => HANDLE_NIL, + instance_handle_in_w => open, valid_out_w => valid_rq,-- ready_out_w => ready_cw,--ready_rq,-- data_out_w => data_rq,-- @@ -148,12 +148,12 @@ begin start_w => open,-- ack_w => '1',-- opcode_w => open, - instance_handle_out_w => open, + instance_handle_out_w => HANDLE_NIL, source_ts_w => open, max_wait_w => open, done_w => '1',-- return_code_w => return_code_sw,-- - instance_handle_in_w => HANDLE_NIL, + instance_handle_in_w => open, valid_out_w => valid_rr,-- ready_out_w => ready_sw,--ready_rr,-- data_out_w => data_rr,-- diff --git a/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_result_srv_test1.vhd b/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_result_srv_test1.vhd index c6be063..0601241 100644 --- a/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_result_srv_test1.vhd +++ b/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_result_srv_test1.vhd @@ -88,12 +88,12 @@ begin start_w => open,-- ack_w => '1',-- opcode_w => open, - instance_handle_out_w => open, + instance_handle_out_w => HANDLE_NIL, source_ts_w => open, max_wait_w => open, done_w => '1',-- return_code_w => return_code_cw, - instance_handle_in_w => HANDLE_NIL, + instance_handle_in_w => open, valid_out_w => valid_rq,-- ready_out_w => ready_cw,--ready_rq,-- data_out_w => data_rq,-- @@ -150,12 +150,12 @@ begin start_w => open,-- ack_w => '1',-- opcode_w => open, - instance_handle_out_w => open, + instance_handle_out_w => HANDLE_NIL, source_ts_w => open, max_wait_w => open, done_w => '1',-- return_code_w => return_code_sw,-- - instance_handle_in_w => HANDLE_NIL, + instance_handle_in_w => open, valid_out_w => valid_rr,-- ready_out_w => ready_sw,--ready_rr,-- data_out_w => data_rr,-- diff --git a/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_result_srv_test2.vhd b/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_result_srv_test2.vhd index ff5900d..ef3cef4 100644 --- a/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_result_srv_test2.vhd +++ b/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_result_srv_test2.vhd @@ -88,12 +88,12 @@ begin start_w => open,-- ack_w => '1',-- opcode_w => open, - instance_handle_out_w => open, + instance_handle_out_w => HANDLE_NIL, source_ts_w => open, max_wait_w => open, done_w => '1',-- return_code_w => return_code_cw, - instance_handle_in_w => HANDLE_NIL, + instance_handle_in_w => open, valid_out_w => valid_rq,-- ready_out_w => ready_cw,--ready_rq,-- data_out_w => data_rq,-- @@ -150,12 +150,12 @@ begin start_w => open,-- ack_w => '1',-- opcode_w => open, - instance_handle_out_w => open, + instance_handle_out_w => HANDLE_NIL, source_ts_w => open, max_wait_w => open, done_w => '1',-- return_code_w => return_code_sw,-- - instance_handle_in_w => HANDLE_NIL, + instance_handle_in_w => open, valid_out_w => valid_rr,-- ready_out_w => ready_sw,--ready_rr,-- data_out_w => data_rr,-- diff --git a/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_test1.vhd b/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_test1.vhd index f9832e2..57cec20 100644 --- a/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_test1.vhd +++ b/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_test1.vhd @@ -106,12 +106,12 @@ begin g_start_w => open, g_ack_w => '1', g_opcode_w => open, - g_instance_handle_out_w => open, + g_instance_handle_out_w => HANDLE_NIL, g_source_ts_w => open, g_max_wait_w => open, g_done_w => '1', g_return_code_w => RETCODE_OK, - g_instance_handle_in_w => HANDLE_NIL, + g_instance_handle_in_w => open, g_valid_out_w => valid_gw, g_ready_out_w => ready_gw, g_data_out_w => data_gw, @@ -144,12 +144,12 @@ begin r_start_w => open, r_ack_w => '1', r_opcode_w => open, - r_instance_handle_out_w => open, + r_instance_handle_out_w => HANDLE_NIL, r_source_ts_w => open, r_max_wait_w => open, r_done_w => '1', r_return_code_w => RETCODE_OK, - r_instance_handle_in_w => HANDLE_NIL, + r_instance_handle_in_w => open, r_valid_out_w => valid_rw, r_ready_out_w => ready_rw, r_data_out_w => data_rw, @@ -182,12 +182,12 @@ begin c_start_w => open, c_ack_w => '1', c_opcode_w => open, - c_instance_handle_out_w => open, + c_instance_handle_out_w => HANDLE_NIL, c_source_ts_w => open, c_max_wait_w => open, c_done_w => '1', c_return_code_w => RETCODE_OK, - c_instance_handle_in_w => HANDLE_NIL, + c_instance_handle_in_w => open, c_valid_out_w => valid_cw, c_ready_out_w => ready_cw, c_data_out_w => data_cw, @@ -302,12 +302,12 @@ begin g_start_w => open, g_ack_w => '1', g_opcode_w => open, - g_instance_handle_out_w => open, + g_instance_handle_out_w => HANDLE_NIL, g_source_ts_w => open, g_max_wait_w => open, g_done_w => '1', g_return_code_w => RETCODE_OK, - g_instance_handle_in_w => HANDLE_NIL, + g_instance_handle_in_w => open, g_valid_out_w => valid_gr, g_ready_out_w => ready_gr, g_data_out_w => data_gr, @@ -340,12 +340,12 @@ begin r_start_w => open, r_ack_w => '1', r_opcode_w => open, - r_instance_handle_out_w => open, + r_instance_handle_out_w => HANDLE_NIL, r_source_ts_w => open, r_max_wait_w => open, r_done_w => '1', r_return_code_w => RETCODE_OK, - r_instance_handle_in_w => HANDLE_NIL, + r_instance_handle_in_w => open, r_valid_out_w => valid_rr, r_ready_out_w => ready_rr, r_data_out_w => data_rr, @@ -378,12 +378,12 @@ begin c_start_w => open, c_ack_w => '1', c_opcode_w => open, - c_instance_handle_out_w => open, + c_instance_handle_out_w => HANDLE_NIL, c_source_ts_w => open, c_max_wait_w => open, c_done_w => '1', c_return_code_w => RETCODE_OK, - c_instance_handle_in_w => HANDLE_NIL, + c_instance_handle_in_w => open, c_valid_out_w => valid_cr, c_ready_out_w => ready_cr, c_data_out_w => data_cr, @@ -396,12 +396,12 @@ begin f_start_dds => open, f_ack_dds => '1', f_opcode_dds => open, - f_instance_handle_out_dds => open, + f_instance_handle_out_dds => HANDLE_NIL, f_source_ts_dds => open, f_max_wait_dds => open, f_done_dds => '1', f_return_code_dds => RETCODE_OK, - f_instance_handle_in_dds => HANDLE_NIL, + f_instance_handle_in_dds => open, f_valid_out_dds => valid_f, f_ready_out_dds => ready_f, f_data_out_dds => data_f, @@ -414,12 +414,12 @@ begin s_start_dds => open, s_ack_dds => '1', s_opcode_dds => open, - s_instance_handle_out_dds => open, + s_instance_handle_out_dds => HANDLE_NIL, s_source_ts_dds => open, s_max_wait_dds => open, s_done_dds => '1', s_return_code_dds => RETCODE_OK, - s_instance_handle_in_dds => HANDLE_NIL, + s_instance_handle_in_dds => open, s_valid_out_dds => open, s_ready_out_dds => '1', s_data_out_dds => open, diff --git a/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_test2.vhd b/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_test2.vhd index cae3bd8..30699bc 100644 --- a/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_test2.vhd +++ b/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_test2.vhd @@ -109,12 +109,12 @@ begin g_start_w => open, g_ack_w => '1', g_opcode_w => open, - g_instance_handle_out_w => open, + g_instance_handle_out_w => HANDLE_NIL, g_source_ts_w => open, g_max_wait_w => open, g_done_w => '1', g_return_code_w => RETCODE_OK, - g_instance_handle_in_w => HANDLE_NIL, + g_instance_handle_in_w => open, g_valid_out_w => valid_gw, g_ready_out_w => ready_gw, g_data_out_w => data_gw, @@ -147,12 +147,12 @@ begin r_start_w => open, r_ack_w => '1', r_opcode_w => open, - r_instance_handle_out_w => open, + r_instance_handle_out_w => HANDLE_NIL, r_source_ts_w => open, r_max_wait_w => open, r_done_w => '1', r_return_code_w => RETCODE_OK, - r_instance_handle_in_w => HANDLE_NIL, + r_instance_handle_in_w => open, r_valid_out_w => valid_rw, r_ready_out_w => ready_rw, r_data_out_w => data_rw, @@ -185,12 +185,12 @@ begin c_start_w => open, c_ack_w => '1', c_opcode_w => open, - c_instance_handle_out_w => open, + c_instance_handle_out_w => HANDLE_NIL, c_source_ts_w => open, c_max_wait_w => open, c_done_w => '1', c_return_code_w => RETCODE_OK, - c_instance_handle_in_w => HANDLE_NIL, + c_instance_handle_in_w => open, c_valid_out_w => valid_cw, c_ready_out_w => ready_cw, c_data_out_w => data_cw, @@ -306,12 +306,12 @@ begin g_start_w => open, g_ack_w => '1', g_opcode_w => open, - g_instance_handle_out_w => open, + g_instance_handle_out_w => HANDLE_NIL, g_source_ts_w => open, g_max_wait_w => open, g_done_w => '1', g_return_code_w => RETCODE_OK, - g_instance_handle_in_w => HANDLE_NIL, + g_instance_handle_in_w => open, g_valid_out_w => valid_gr, g_ready_out_w => ready_gr, g_data_out_w => data_gr, @@ -344,12 +344,12 @@ begin r_start_w => open, r_ack_w => '1', r_opcode_w => open, - r_instance_handle_out_w => open, + r_instance_handle_out_w => HANDLE_NIL, r_source_ts_w => open, r_max_wait_w => open, r_done_w => '1', r_return_code_w => RETCODE_OK, - r_instance_handle_in_w => HANDLE_NIL, + r_instance_handle_in_w => open, r_valid_out_w => valid_rr, r_ready_out_w => ready_rr, r_data_out_w => data_rr, @@ -382,12 +382,12 @@ begin c_start_w => open, c_ack_w => '1', c_opcode_w => open, - c_instance_handle_out_w => open, + c_instance_handle_out_w => HANDLE_NIL, c_source_ts_w => open, c_max_wait_w => open, c_done_w => '1', c_return_code_w => RETCODE_OK, - c_instance_handle_in_w => HANDLE_NIL, + c_instance_handle_in_w => open, c_valid_out_w => valid_cr, c_ready_out_w => ready_cr, c_data_out_w => data_cr, @@ -400,12 +400,12 @@ begin f_start_dds => open, f_ack_dds => '1', f_opcode_dds => open, - f_instance_handle_out_dds => open, + f_instance_handle_out_dds => HANDLE_NIL, f_source_ts_dds => open, f_max_wait_dds => open, f_done_dds => '1', f_return_code_dds => RETCODE_OK, - f_instance_handle_in_dds => HANDLE_NIL, + f_instance_handle_in_dds => open, f_valid_out_dds => valid_f, f_ready_out_dds => ready_f, f_data_out_dds => data_f, @@ -418,12 +418,12 @@ begin s_start_dds => open, s_ack_dds => '1', s_opcode_dds => open, - s_instance_handle_out_dds => open, + s_instance_handle_out_dds => HANDLE_NIL, s_source_ts_dds => open, s_max_wait_dds => open, s_done_dds => '1', s_return_code_dds => RETCODE_OK, - s_instance_handle_in_dds => HANDLE_NIL, + s_instance_handle_in_dds => open, s_valid_out_dds => open, s_ready_out_dds => '1', s_data_out_dds => open, diff --git a/src/ros2/Tests/Level_1/L1_GoalStatusArray_ros_test1.vhd b/src/ros2/Tests/Level_1/L1_GoalStatusArray_ros_test1.vhd index ced16bc..0b3e9b6 100644 --- a/src/ros2/Tests/Level_1/L1_GoalStatusArray_ros_test1.vhd +++ b/src/ros2/Tests/Level_1/L1_GoalStatusArray_ros_test1.vhd @@ -108,12 +108,12 @@ begin start_dds => open,-- ack_dds => '1',-- opcode_dds => open, - instance_handle_out_dds => open, + instance_handle_out_dds => HANDLE_NIL, source_ts_dds => open, max_wait_dds => open, done_dds => '1',-- return_code_dds => return_code_w,-- - instance_handle_in_dds => HANDLE_NIL, + instance_handle_in_dds => open, valid_out_dds => valid,-- ready_out_dds => ready_w,--ready,-- data_out_dds => data,-- diff --git a/src/ros2/Tests/Level_1/L1_GoalStatusArray_ros_test2.vhd b/src/ros2/Tests/Level_1/L1_GoalStatusArray_ros_test2.vhd index 5afe3b2..c2db5b0 100644 --- a/src/ros2/Tests/Level_1/L1_GoalStatusArray_ros_test2.vhd +++ b/src/ros2/Tests/Level_1/L1_GoalStatusArray_ros_test2.vhd @@ -111,12 +111,12 @@ begin start_dds => open,-- ack_dds => '1',-- opcode_dds => open, - instance_handle_out_dds => open, + instance_handle_out_dds => HANDLE_NIL, source_ts_dds => open, max_wait_dds => open, done_dds => '1',-- return_code_dds => return_code_w,-- - instance_handle_in_dds => HANDLE_NIL, + instance_handle_in_dds => open, valid_out_dds => valid,-- ready_out_dds => ready_w,--ready,-- data_out_dds => data,-- diff --git a/src/ros2/example_interfaces/AddTwoInts_ros_srv_client.vhd b/src/ros2/example_interfaces/AddTwoInts_ros_srv_client.vhd index 1692cad..7017631 100644 --- a/src/ros2/example_interfaces/AddTwoInts_ros_srv_client.vhd +++ b/src/ros2/example_interfaces/AddTwoInts_ros_srv_client.vhd @@ -42,12 +42,12 @@ entity AddTwoInts_ros_srv_client is start_w : out std_logic; ack_w : in std_logic; opcode_w : out DDS_WRITER_OPCODE_TYPE; - instance_handle_out_w : out INSTANCE_HANDLE_TYPE; + instance_handle_in_w : out INSTANCE_HANDLE_TYPE; source_ts_w : out TIME_TYPE; max_wait_w : out DURATION_TYPE; done_w : in std_logic; return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0); - instance_handle_in_w : in INSTANCE_HANDLE_TYPE; + instance_handle_out_w : in INSTANCE_HANDLE_TYPE; valid_out_w : out std_logic; ready_out_w : in std_logic; data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0); @@ -132,7 +132,7 @@ begin sample_state_r <= ANY_SAMPLE_STATE; instance_handle_r <= HANDLE_NIL; max_samples_r <= (others => '0'); - instance_handle_out_w <= HANDLE_NIL; + instance_handle_in_w <= HANDLE_NIL; source_ts_w <= TIME_INVALID; max_wait_w <= DURATION_ZERO; ready_in_w <= '0'; -- DDS Writer Input is unused diff --git a/src/ros2/example_interfaces/AddTwoInts_ros_srv_server.vhd b/src/ros2/example_interfaces/AddTwoInts_ros_srv_server.vhd index 585533a..a626c08 100644 --- a/src/ros2/example_interfaces/AddTwoInts_ros_srv_server.vhd +++ b/src/ros2/example_interfaces/AddTwoInts_ros_srv_server.vhd @@ -42,12 +42,12 @@ entity AddTwoInts_ros_srv_server is start_w : out std_logic; ack_w : in std_logic; opcode_w : out DDS_WRITER_OPCODE_TYPE; - instance_handle_out_w : out INSTANCE_HANDLE_TYPE; + instance_handle_in_w : out INSTANCE_HANDLE_TYPE; source_ts_w : out TIME_TYPE; max_wait_w : out DURATION_TYPE; done_w : in std_logic; return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0); - instance_handle_in_w : in INSTANCE_HANDLE_TYPE; + instance_handle_out_w : in INSTANCE_HANDLE_TYPE; valid_out_w : out std_logic; ready_out_w : in std_logic; data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0); @@ -132,7 +132,7 @@ begin sample_state_r <= ANY_SAMPLE_STATE; instance_handle_r <= HANDLE_NIL; max_samples_r <= (others => '0'); - instance_handle_out_w <= HANDLE_NIL; + instance_handle_in_w <= HANDLE_NIL; source_ts_w <= TIME_INVALID; max_wait_w <= DURATION_ZERO; ready_in_w <= '0'; -- DDS Writer Input is unused diff --git a/src/ros2/example_interfaces/Fibonacci_ros_action_client.vhd b/src/ros2/example_interfaces/Fibonacci_ros_action_client.vhd index 48e4595..23e17df 100644 --- a/src/ros2/example_interfaces/Fibonacci_ros_action_client.vhd +++ b/src/ros2/example_interfaces/Fibonacci_ros_action_client.vhd @@ -49,12 +49,12 @@ entity Fibonacci_ros_action_client is g_start_w : out std_logic; g_ack_w : in std_logic; g_opcode_w : out DDS_WRITER_OPCODE_TYPE; - g_instance_handle_out_w : out INSTANCE_HANDLE_TYPE; + g_instance_handle_in_w : out INSTANCE_HANDLE_TYPE; g_source_ts_w : out TIME_TYPE; g_max_wait_w : out DURATION_TYPE; g_done_w : in std_logic; g_return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0); - g_instance_handle_in_w : in INSTANCE_HANDLE_TYPE; + g_instance_handle_out_w : in INSTANCE_HANDLE_TYPE; g_valid_out_w : out std_logic; g_ready_out_w : in std_logic; g_data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0); @@ -90,12 +90,12 @@ entity Fibonacci_ros_action_client is r_start_w : out std_logic; r_ack_w : in std_logic; r_opcode_w : out DDS_WRITER_OPCODE_TYPE; - r_instance_handle_out_w : out INSTANCE_HANDLE_TYPE; + r_instance_handle_in_w : out INSTANCE_HANDLE_TYPE; r_source_ts_w : out TIME_TYPE; r_max_wait_w : out DURATION_TYPE; r_done_w : in std_logic; r_return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0); - r_instance_handle_in_w : in INSTANCE_HANDLE_TYPE; + r_instance_handle_out_w : in INSTANCE_HANDLE_TYPE; r_valid_out_w : out std_logic; r_ready_out_w : in std_logic; r_data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0); @@ -131,12 +131,12 @@ entity Fibonacci_ros_action_client is c_start_w : out std_logic; c_ack_w : in std_logic; c_opcode_w : out DDS_WRITER_OPCODE_TYPE; - c_instance_handle_out_w : out INSTANCE_HANDLE_TYPE; + c_instance_handle_in_w : out INSTANCE_HANDLE_TYPE; c_source_ts_w : out TIME_TYPE; c_max_wait_w : out DURATION_TYPE; c_done_w : in std_logic; c_return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0); - c_instance_handle_in_w : in INSTANCE_HANDLE_TYPE; + c_instance_handle_out_w : in INSTANCE_HANDLE_TYPE; c_valid_out_w : out std_logic; c_ready_out_w : in std_logic; c_data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0); diff --git a/src/ros2/example_interfaces/Fibonacci_ros_action_feedback_pub.vhd b/src/ros2/example_interfaces/Fibonacci_ros_action_feedback_pub.vhd index 515f6fe..b0c0001 100644 --- a/src/ros2/example_interfaces/Fibonacci_ros_action_feedback_pub.vhd +++ b/src/ros2/example_interfaces/Fibonacci_ros_action_feedback_pub.vhd @@ -22,12 +22,12 @@ entity Fibonacci_ros_action_feedback_pub is start_dds : out std_logic; ack_dds : in std_logic; opcode_dds : out DDS_WRITER_OPCODE_TYPE; - instance_handle_out_dds : out INSTANCE_HANDLE_TYPE; + instance_handle_in_dds : out INSTANCE_HANDLE_TYPE; source_ts_dds : out TIME_TYPE; max_wait_dds : out DURATION_TYPE; done_dds : in std_logic; return_code_dds : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0); - instance_handle_in_dds : in INSTANCE_HANDLE_TYPE; + instance_handle_out_dds : in INSTANCE_HANDLE_TYPE; valid_out_dds : out std_logic; ready_out_dds : in std_logic; data_out_dds : out std_logic_vector(WORD_WIDTH-1 downto 0); @@ -117,7 +117,7 @@ begin -- ###GENERATED END### -- PASSTHROUGH - instance_handle_out_dds <= HANDLE_NIL; + instance_handle_in_dds <= HANDLE_NIL; source_ts_dds <= TIME_INVALID; max_wait_dds <= DURATION_ZERO; ready_in_dds <= '0'; -- DDS Writer Input is unused diff --git a/src/ros2/example_interfaces/Fibonacci_ros_action_goal_srv_client.vhd b/src/ros2/example_interfaces/Fibonacci_ros_action_goal_srv_client.vhd index 0c0abb2..ccfadf9 100644 --- a/src/ros2/example_interfaces/Fibonacci_ros_action_goal_srv_client.vhd +++ b/src/ros2/example_interfaces/Fibonacci_ros_action_goal_srv_client.vhd @@ -43,12 +43,12 @@ entity Fibonacci_ros_action_goal_srv_client is start_w : out std_logic; ack_w : in std_logic; opcode_w : out DDS_WRITER_OPCODE_TYPE; - instance_handle_out_w : out INSTANCE_HANDLE_TYPE; + instance_handle_in_w : out INSTANCE_HANDLE_TYPE; source_ts_w : out TIME_TYPE; max_wait_w : out DURATION_TYPE; done_w : in std_logic; return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0); - instance_handle_in_w : in INSTANCE_HANDLE_TYPE; + instance_handle_out_w : in INSTANCE_HANDLE_TYPE; valid_out_w : out std_logic; ready_out_w : in std_logic; data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0); @@ -138,7 +138,7 @@ begin sample_state_r <= ANY_SAMPLE_STATE; instance_handle_r <= HANDLE_NIL; max_samples_r <= (others => '0'); - instance_handle_out_w <= HANDLE_NIL; + instance_handle_in_w <= HANDLE_NIL; source_ts_w <= TIME_INVALID; max_wait_w <= DURATION_ZERO; ready_in_w <= '0'; -- DDS Writer Input is unused diff --git a/src/ros2/example_interfaces/Fibonacci_ros_action_goal_srv_server.vhd b/src/ros2/example_interfaces/Fibonacci_ros_action_goal_srv_server.vhd index bcb514b..5d87d0f 100644 --- a/src/ros2/example_interfaces/Fibonacci_ros_action_goal_srv_server.vhd +++ b/src/ros2/example_interfaces/Fibonacci_ros_action_goal_srv_server.vhd @@ -44,12 +44,12 @@ entity Fibonacci_ros_action_goal_srv_server is start_w : out std_logic; ack_w : in std_logic; opcode_w : out DDS_WRITER_OPCODE_TYPE; - instance_handle_out_w : out INSTANCE_HANDLE_TYPE; + instance_handle_in_w : out INSTANCE_HANDLE_TYPE; source_ts_w : out TIME_TYPE; max_wait_w : out DURATION_TYPE; done_w : in std_logic; return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0); - instance_handle_in_w : in INSTANCE_HANDLE_TYPE; + instance_handle_out_w : in INSTANCE_HANDLE_TYPE; valid_out_w : out std_logic; ready_out_w : in std_logic; data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0); @@ -139,7 +139,7 @@ begin sample_state_r <= ANY_SAMPLE_STATE; instance_handle_r <= HANDLE_NIL; max_samples_r <= (others => '0'); - instance_handle_out_w <= HANDLE_NIL; + instance_handle_in_w <= HANDLE_NIL; source_ts_w <= TIME_INVALID; max_wait_w <= DURATION_ZERO; ready_in_w <= '0'; -- DDS Writer Input is unused diff --git a/src/ros2/example_interfaces/Fibonacci_ros_action_result_srv_client.vhd b/src/ros2/example_interfaces/Fibonacci_ros_action_result_srv_client.vhd index ee677e8..5a1c2f2 100644 --- a/src/ros2/example_interfaces/Fibonacci_ros_action_result_srv_client.vhd +++ b/src/ros2/example_interfaces/Fibonacci_ros_action_result_srv_client.vhd @@ -43,12 +43,12 @@ entity Fibonacci_ros_action_result_srv_client is start_w : out std_logic; ack_w : in std_logic; opcode_w : out DDS_WRITER_OPCODE_TYPE; - instance_handle_out_w : out INSTANCE_HANDLE_TYPE; + instance_handle_in_w : out INSTANCE_HANDLE_TYPE; source_ts_w : out TIME_TYPE; max_wait_w : out DURATION_TYPE; done_w : in std_logic; return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0); - instance_handle_in_w : in INSTANCE_HANDLE_TYPE; + instance_handle_out_w : in INSTANCE_HANDLE_TYPE; valid_out_w : out std_logic; ready_out_w : in std_logic; data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0); @@ -166,7 +166,7 @@ begin sample_state_r <= ANY_SAMPLE_STATE; instance_handle_r <= HANDLE_NIL; max_samples_r <= (others => '0'); - instance_handle_out_w <= HANDLE_NIL; + instance_handle_in_w <= HANDLE_NIL; source_ts_w <= TIME_INVALID; max_wait_w <= DURATION_ZERO; ready_in_w <= '0'; -- DDS Writer Input is unused diff --git a/src/ros2/example_interfaces/Fibonacci_ros_action_result_srv_server.vhd b/src/ros2/example_interfaces/Fibonacci_ros_action_result_srv_server.vhd index d97bef8..b6dc82b 100644 --- a/src/ros2/example_interfaces/Fibonacci_ros_action_result_srv_server.vhd +++ b/src/ros2/example_interfaces/Fibonacci_ros_action_result_srv_server.vhd @@ -43,12 +43,12 @@ entity Fibonacci_ros_action_result_srv_server is start_w : out std_logic; ack_w : in std_logic; opcode_w : out DDS_WRITER_OPCODE_TYPE; - instance_handle_out_w : out INSTANCE_HANDLE_TYPE; + instance_handle_in_w : out INSTANCE_HANDLE_TYPE; source_ts_w : out TIME_TYPE; max_wait_w : out DURATION_TYPE; done_w : in std_logic; return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0); - instance_handle_in_w : in INSTANCE_HANDLE_TYPE; + instance_handle_out_w : in INSTANCE_HANDLE_TYPE; valid_out_w : out std_logic; ready_out_w : in std_logic; data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0); @@ -167,7 +167,7 @@ begin sample_state_r <= ANY_SAMPLE_STATE; instance_handle_r <= HANDLE_NIL; max_samples_r <= (others => '0'); - instance_handle_out_w <= HANDLE_NIL; + instance_handle_in_w <= HANDLE_NIL; source_ts_w <= TIME_INVALID; max_wait_w <= DURATION_ZERO; ready_in_w <= '0'; -- DDS Writer Input is unused diff --git a/src/ros2/example_interfaces/Fibonacci_ros_action_server.vhd b/src/ros2/example_interfaces/Fibonacci_ros_action_server.vhd index 15a63a3..e8ce78a 100644 --- a/src/ros2/example_interfaces/Fibonacci_ros_action_server.vhd +++ b/src/ros2/example_interfaces/Fibonacci_ros_action_server.vhd @@ -52,12 +52,12 @@ entity Fibonacci_ros_action_server is g_start_w : out std_logic; g_ack_w : in std_logic; g_opcode_w : out DDS_WRITER_OPCODE_TYPE; - g_instance_handle_out_w : out INSTANCE_HANDLE_TYPE; + g_instance_handle_in_w : out INSTANCE_HANDLE_TYPE; g_source_ts_w : out TIME_TYPE; g_max_wait_w : out DURATION_TYPE; g_done_w : in std_logic; g_return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0); - g_instance_handle_in_w : in INSTANCE_HANDLE_TYPE; + g_instance_handle_out_w : in INSTANCE_HANDLE_TYPE; g_valid_out_w : out std_logic; g_ready_out_w : in std_logic; g_data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0); @@ -93,12 +93,12 @@ entity Fibonacci_ros_action_server is r_start_w : out std_logic; r_ack_w : in std_logic; r_opcode_w : out DDS_WRITER_OPCODE_TYPE; - r_instance_handle_out_w : out INSTANCE_HANDLE_TYPE; + r_instance_handle_in_w : out INSTANCE_HANDLE_TYPE; r_source_ts_w : out TIME_TYPE; r_max_wait_w : out DURATION_TYPE; r_done_w : in std_logic; r_return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0); - r_instance_handle_in_w : in INSTANCE_HANDLE_TYPE; + r_instance_handle_out_w : in INSTANCE_HANDLE_TYPE; r_valid_out_w : out std_logic; r_ready_out_w : in std_logic; r_data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0); @@ -134,12 +134,12 @@ entity Fibonacci_ros_action_server is c_start_w : out std_logic; c_ack_w : in std_logic; c_opcode_w : out DDS_WRITER_OPCODE_TYPE; - c_instance_handle_out_w : out INSTANCE_HANDLE_TYPE; + c_instance_handle_in_w : out INSTANCE_HANDLE_TYPE; c_source_ts_w : out TIME_TYPE; c_max_wait_w : out DURATION_TYPE; c_done_w : in std_logic; c_return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0); - c_instance_handle_in_w : in INSTANCE_HANDLE_TYPE; + c_instance_handle_out_w : in INSTANCE_HANDLE_TYPE; c_valid_out_w : out std_logic; c_ready_out_w : in std_logic; c_data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0); @@ -153,12 +153,12 @@ entity Fibonacci_ros_action_server is f_start_dds : out std_logic; f_ack_dds : in std_logic; f_opcode_dds : out DDS_WRITER_OPCODE_TYPE; - f_instance_handle_out_dds : out INSTANCE_HANDLE_TYPE; + f_instance_handle_in_dds : out INSTANCE_HANDLE_TYPE; f_source_ts_dds : out TIME_TYPE; f_max_wait_dds : out DURATION_TYPE; f_done_dds : in std_logic; f_return_code_dds : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0); - f_instance_handle_in_dds : in INSTANCE_HANDLE_TYPE; + f_instance_handle_out_dds : in INSTANCE_HANDLE_TYPE; f_valid_out_dds : out std_logic; f_ready_out_dds : in std_logic; f_data_out_dds : out std_logic_vector(WORD_WIDTH-1 downto 0); @@ -172,12 +172,12 @@ entity Fibonacci_ros_action_server is s_start_dds : out std_logic; s_ack_dds : in std_logic; s_opcode_dds : out DDS_WRITER_OPCODE_TYPE; - s_instance_handle_out_dds : out INSTANCE_HANDLE_TYPE; + s_instance_handle_in_dds : out INSTANCE_HANDLE_TYPE; s_source_ts_dds : out TIME_TYPE; s_max_wait_dds : out DURATION_TYPE; s_done_dds : in std_logic; s_return_code_dds : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0); - s_instance_handle_in_dds : in INSTANCE_HANDLE_TYPE; + s_instance_handle_out_dds : in INSTANCE_HANDLE_TYPE; s_valid_out_dds : out std_logic; s_ready_out_dds : in std_logic; s_data_out_dds : out std_logic_vector(WORD_WIDTH-1 downto 0); @@ -554,7 +554,7 @@ begin else generate f_start_dds <= '0'; f_opcode_dds <= NOP; - f_instance_handle_out_dds <= HANDLE_NIL; + f_instance_handle_in_dds <= HANDLE_NIL; f_source_ts_dds <= TIME_INVALID; f_max_wait_dds <= DURATION_ZERO; f_valid_out_dds <= '0'; diff --git a/src/ros2/rcl_interfaces/action_msgs/CancelGoal_ros_srv_client.vhd b/src/ros2/rcl_interfaces/action_msgs/CancelGoal_ros_srv_client.vhd index ba47bb3..aeb8eb4 100644 --- a/src/ros2/rcl_interfaces/action_msgs/CancelGoal_ros_srv_client.vhd +++ b/src/ros2/rcl_interfaces/action_msgs/CancelGoal_ros_srv_client.vhd @@ -43,12 +43,12 @@ entity CancelGoal_ros_srv_client is start_w : out std_logic; ack_w : in std_logic; opcode_w : out DDS_WRITER_OPCODE_TYPE; - instance_handle_out_w : out INSTANCE_HANDLE_TYPE; + instance_handle_in_w : out INSTANCE_HANDLE_TYPE; source_ts_w : out TIME_TYPE; max_wait_w : out DURATION_TYPE; done_w : in std_logic; return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0); - instance_handle_in_w : in INSTANCE_HANDLE_TYPE; + instance_handle_out_w : in INSTANCE_HANDLE_TYPE; valid_out_w : out std_logic; ready_out_w : in std_logic; data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0); @@ -195,7 +195,7 @@ begin sample_state_r <= ANY_SAMPLE_STATE; instance_handle_r <= HANDLE_NIL; max_samples_r <= (others => '0'); - instance_handle_out_w <= HANDLE_NIL; + instance_handle_in_w <= HANDLE_NIL; source_ts_w <= TIME_INVALID; max_wait_w <= DURATION_ZERO; ready_in_w <= '0'; -- DDS Writer Input is unused diff --git a/src/ros2/rcl_interfaces/action_msgs/CancelGoal_ros_srv_server.vhd b/src/ros2/rcl_interfaces/action_msgs/CancelGoal_ros_srv_server.vhd index a695187..39b9a20 100644 --- a/src/ros2/rcl_interfaces/action_msgs/CancelGoal_ros_srv_server.vhd +++ b/src/ros2/rcl_interfaces/action_msgs/CancelGoal_ros_srv_server.vhd @@ -43,12 +43,12 @@ entity CancelGoal_ros_srv_server is start_w : out std_logic; ack_w : in std_logic; opcode_w : out DDS_WRITER_OPCODE_TYPE; - instance_handle_out_w : out INSTANCE_HANDLE_TYPE; + instance_handle_in_w : out INSTANCE_HANDLE_TYPE; source_ts_w : out TIME_TYPE; max_wait_w : out DURATION_TYPE; done_w : in std_logic; return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0); - instance_handle_in_w : in INSTANCE_HANDLE_TYPE; + instance_handle_out_w : in INSTANCE_HANDLE_TYPE; valid_out_w : out std_logic; ready_out_w : in std_logic; data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0); @@ -197,7 +197,7 @@ begin sample_state_r <= ANY_SAMPLE_STATE; instance_handle_r <= HANDLE_NIL; max_samples_r <= (others => '0'); - instance_handle_out_w <= HANDLE_NIL; + instance_handle_in_w <= HANDLE_NIL; source_ts_w <= TIME_INVALID; max_wait_w <= DURATION_ZERO; ready_in_w <= '0'; -- DDS Writer Input is unused diff --git a/src/ros2/rcl_interfaces/action_msgs/GoalStatusArray_ros_pub.vhd b/src/ros2/rcl_interfaces/action_msgs/GoalStatusArray_ros_pub.vhd index 13dfb2f..f5b2db4 100644 --- a/src/ros2/rcl_interfaces/action_msgs/GoalStatusArray_ros_pub.vhd +++ b/src/ros2/rcl_interfaces/action_msgs/GoalStatusArray_ros_pub.vhd @@ -22,12 +22,12 @@ entity GoalStatusArray_ros_pub is start_dds : out std_logic; ack_dds : in std_logic; opcode_dds : out DDS_WRITER_OPCODE_TYPE; - instance_handle_out_dds : out INSTANCE_HANDLE_TYPE; + instance_handle_in_dds : out INSTANCE_HANDLE_TYPE; source_ts_dds : out TIME_TYPE; max_wait_dds : out DURATION_TYPE; done_dds : in std_logic; return_code_dds : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0); - instance_handle_in_dds : in INSTANCE_HANDLE_TYPE; + instance_handle_out_dds : in INSTANCE_HANDLE_TYPE; valid_out_dds : out std_logic; ready_out_dds : in std_logic; data_out_dds : out std_logic_vector(WORD_WIDTH-1 downto 0); @@ -168,7 +168,7 @@ begin -- ###GENERATED END### -- PASSTHROUGH - instance_handle_out_dds <= HANDLE_NIL; + instance_handle_in_dds <= HANDLE_NIL; source_ts_dds <= TIME_INVALID; max_wait_dds <= DURATION_ZERO; ready_in_dds <= '0'; -- DDS Writer Input is unused