Add MAX_PAYLOAD_SIZE to Endpoint Config Record

This commit is contained in:
Greek 2022-01-24 18:27:35 +01:00
parent 63ce5642de
commit 2d3c5cf896
14 changed files with 27 additions and 16 deletions

View File

@ -364,9 +364,7 @@ begin
COHERENT_ACCESS => ENDPOINT_CONFIG(i).COHERENT_ACCESS,
ORDERED_ACCESS => ENDPOINT_CONFIG(i).ORDERED_ACCESS,
WITH_KEY => ENDPOINT_CONFIG(i).WITH_KEY,
-- ###GENERATED START###
PAYLOAD_FRAME_SIZE => TODO,
-- ###GENERATED START###
PAYLOAD_FRAME_SIZE => ENDPOINT_CONFIG(i).MAX_PAYLOAD_SIZE,
MAX_REMOTE_ENDPOINTS => MAX_REMOTE_ENDPOINTS
)
port map (
@ -430,9 +428,7 @@ begin
MAX_SAMPLES => ENDPOINT_CONFIG(i).MAX_SAMPLES,
MAX_INSTANCES => ENDPOINT_CONFIG(i).MAX_INSTANCES,
MAX_SAMPLES_PER_INSTANCE => ENDPOINT_CONFIG(i).MAX_SAMPLES_PER_INSTANCE,
-- ###GENERATED START###
PAYLOAD_FRAME_SIZE => TODO
-- ###GENERATED START###
PAYLOAD_FRAME_SIZE => ENDPOINT_CONFIG(i).MAX_PAYLOAD_SIZE
)
port map (
-- SYSTEM

View File

@ -139,7 +139,7 @@ begin
MAX_SAMPLES => ENDPOINT_CONFIG(0).MAX_SAMPLES,
MAX_INSTANCES => ENDPOINT_CONFIG(0).MAX_INSTANCES,
MAX_SAMPLES_PER_INSTANCE => ENDPOINT_CONFIG(0).MAX_SAMPLES_PER_INSTANCE,
PAYLOAD_FRAME_SIZE => MAX_TYPE1_SIZE
PAYLOAD_FRAME_SIZE => ENDPOINT_CONFIG(0).MAX_PAYLOAD_SIZE
)
port map (
-- SYSTEM

View File

@ -3,6 +3,7 @@ use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.rtps_package.all;
use work.Type1_package.all;
package user_config is
@ -88,6 +89,7 @@ package body user_config is
c.RELIABILITY_QOS := RELIABLE_RELIABILITY_QOS;
c.MAX_SAMPLES := std_logic_vector(to_unsigned(5, CDR_LONG_WIDTH));
c.MAX_INSTANCES := std_logic_vector(to_unsigned(5, CDR_LONG_WIDTH));
c.MAX_PAYLOAD_SIZE := MAX_TYPE1_SIZE;
ret := (others => c);

View File

@ -204,7 +204,7 @@ begin
COHERENT_ACCESS => ENDPOINT_CONFIG(0).COHERENT_ACCESS,
ORDERED_ACCESS => ENDPOINT_CONFIG(0).ORDERED_ACCESS,
WITH_KEY => ENDPOINT_CONFIG(0).WITH_KEY,
PAYLOAD_FRAME_SIZE => MAX_TYPE1_SIZE,
PAYLOAD_FRAME_SIZE => ENDPOINT_CONFIG(0).MAX_PAYLOAD_SIZE,
MAX_REMOTE_ENDPOINTS => 5
)
port map (

View File

@ -3,6 +3,7 @@ use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.rtps_package.all;
use work.Type1_package.all;
package user_config is
@ -88,6 +89,7 @@ package body user_config is
c.RELIABILITY_QOS := RELIABLE_RELIABILITY_QOS;
c.MAX_SAMPLES := std_logic_vector(to_unsigned(5, CDR_LONG_WIDTH));
c.MAX_INSTANCES := std_logic_vector(to_unsigned(5, CDR_LONG_WIDTH));
c.MAX_PAYLOAD_SIZE := MAX_TYPE1_SIZE;
ret := (others => c);

View File

@ -388,7 +388,7 @@ begin
COHERENT_ACCESS => ENDPOINT_CONFIG(i).COHERENT_ACCESS,
ORDERED_ACCESS => ENDPOINT_CONFIG(i).ORDERED_ACCESS,
WITH_KEY => ENDPOINT_CONFIG(i).WITH_KEY,
PAYLOAD_FRAME_SIZE => MAX_TYPE1_SIZE,
PAYLOAD_FRAME_SIZE => ENDPOINT_CONFIG(i).MAX_PAYLOAD_SIZE,
MAX_REMOTE_ENDPOINTS => MAX_REMOTE_ENDPOINTS
)
port map (
@ -452,7 +452,7 @@ begin
MAX_SAMPLES => ENDPOINT_CONFIG(i).MAX_SAMPLES,
MAX_INSTANCES => ENDPOINT_CONFIG(i).MAX_INSTANCES,
MAX_SAMPLES_PER_INSTANCE => ENDPOINT_CONFIG(i).MAX_SAMPLES_PER_INSTANCE,
PAYLOAD_FRAME_SIZE => MAX_TYPE1_SIZE
PAYLOAD_FRAME_SIZE => ENDPOINT_CONFIG(i).MAX_PAYLOAD_SIZE
)
port map (
-- SYSTEM

View File

@ -3,6 +3,7 @@ use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.rtps_package.all;
use work.Type1_package.all;
package user_config is
@ -89,6 +90,7 @@ package body user_config is
c.HISTORY_DEPTH := std_logic_vector(to_unsigned(5, CDR_LONG_WIDTH));
c.MAX_SAMPLES := std_logic_vector(to_unsigned(20, CDR_LONG_WIDTH));
c.MAX_INSTANCES := std_logic_vector(to_unsigned(5, CDR_LONG_WIDTH));
c.MAX_PAYLOAD_SIZE := MAX_TYPE1_SIZE;
ret := (others => c);

View File

@ -410,7 +410,7 @@ begin
COHERENT_ACCESS => ENDPOINT_CONFIG(i).COHERENT_ACCESS,
ORDERED_ACCESS => ENDPOINT_CONFIG(i).ORDERED_ACCESS,
WITH_KEY => ENDPOINT_CONFIG(i).WITH_KEY,
PAYLOAD_FRAME_SIZE => MAX_TYPE1_SIZE,
PAYLOAD_FRAME_SIZE => ENDPOINT_CONFIG(i).MAX_PAYLOAD_SIZE,
MAX_REMOTE_ENDPOINTS => MAX_REMOTE_ENDPOINTS
)
port map (
@ -474,7 +474,7 @@ begin
MAX_SAMPLES => ENDPOINT_CONFIG(i).MAX_SAMPLES,
MAX_INSTANCES => ENDPOINT_CONFIG(i).MAX_INSTANCES,
MAX_SAMPLES_PER_INSTANCE => ENDPOINT_CONFIG(i).MAX_SAMPLES_PER_INSTANCE,
PAYLOAD_FRAME_SIZE => MAX_TYPE1_SIZE
PAYLOAD_FRAME_SIZE => ENDPOINT_CONFIG(i).MAX_PAYLOAD_SIZE
)
port map (
-- SYSTEM

View File

@ -3,6 +3,7 @@ use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.rtps_package.all;
use work.Type1_package.all;
package user_config is
@ -89,6 +90,7 @@ package body user_config is
c.HISTORY_DEPTH := std_logic_vector(to_unsigned(5, CDR_LONG_WIDTH));
c.MAX_SAMPLES := std_logic_vector(to_unsigned(20, CDR_LONG_WIDTH));
c.MAX_INSTANCES := std_logic_vector(to_unsigned(5, CDR_LONG_WIDTH));
c.MAX_PAYLOAD_SIZE := MAX_TYPE1_SIZE;
ret := (others => c);

View File

@ -86,6 +86,7 @@ package body user_config is
c1.HEARTBEAT_SUPPRESSION_DELAY := gen_duration(200 ms);
c1.ACKNACK_RESPONSE_DELAY := gen_duration(200 ms);
c1.ACKNACK_SUPPRESSION_DELAY := gen_duration(200 ms);
c1.MAX_PAYLOAD_SIZE := 4;
c2 := DEFAULT_WRITER_CONFIG;
c2.WITH_KEY := FALSE;
@ -96,6 +97,7 @@ package body user_config is
c2.HEARTBEAT_SUPPRESSION_DELAY := gen_duration(200 ms);
c2.ACKNACK_RESPONSE_DELAY := gen_duration(200 ms);
c2.ACKNACK_SUPPRESSION_DELAY := gen_duration(200 ms);
c1.MAX_PAYLOAD_SIZE := 4;
ret := (
0 to NUM_READERS-1 => c1,

View File

@ -2,8 +2,8 @@ library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.rtps_package.all;
use work.math_pkg.all;
use work.rtps_package.all;
package Type1_package is

View File

@ -2,8 +2,8 @@ library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.rtps_package.all;
use work.math_pkg.all;
use work.rtps_package.all;
package Type2_package is

View File

@ -544,6 +544,7 @@ package rtps_package is
-- READER_DATA_LIFECYCLE QoS
AUTOPURGE_NOWRITER_SAMPLES_DELAY : DURATION_TYPE; -- (Only relevant to Readers)
AUTOPURGE_DISPOSED_SAMPLES_DELAY : DURATION_TYPE; -- (Only relevant to Readers)
MAX_PAYLOAD_SIZE : natural;
end record;
constant DEFAULT_READER_CONFIG : CONFIG_TYPE; -- Deferred to package Body
@ -916,7 +917,8 @@ package body rtps_package is
MAX_SAMPLES_PER_INSTANCE => DEFAULT_MAX_SAMPLES_PER_INSTANCE,
AUTODISPOSE_UNREGISTERED_INSTANCES => DEFAULT_AUTODISPOSE_UNREGISTERED_INSTANCES,
AUTOPURGE_NOWRITER_SAMPLES_DELAY => DEFAULT_AUTOPURGE_NOWRITER_SAMPLES_DELAY,
AUTOPURGE_DISPOSED_SAMPLES_DELAY => DEFAULT_AUTOPURGE_DISPOSED_SAMPLES_DELAY
AUTOPURGE_DISPOSED_SAMPLES_DELAY => DEFAULT_AUTOPURGE_DISPOSED_SAMPLES_DELAY,
MAX_PAYLOAD_SIZE => 0
);
constant DEFAULT_WRITER_CONFIG : CONFIG_TYPE := (
@ -957,7 +959,8 @@ package body rtps_package is
MAX_SAMPLES_PER_INSTANCE => DEFAULT_MAX_SAMPLES_PER_INSTANCE,
AUTODISPOSE_UNREGISTERED_INSTANCES => DEFAULT_AUTODISPOSE_UNREGISTERED_INSTANCES,
AUTOPURGE_NOWRITER_SAMPLES_DELAY => DEFAULT_AUTOPURGE_NOWRITER_SAMPLES_DELAY,
AUTOPURGE_DISPOSED_SAMPLES_DELAY => DEFAULT_AUTOPURGE_DISPOSED_SAMPLES_DELAY
AUTOPURGE_DISPOSED_SAMPLES_DELAY => DEFAULT_AUTOPURGE_DISPOSED_SAMPLES_DELAY,
MAX_PAYLOAD_SIZE => 0
);
function string_len(str : string) return natural is

View File

@ -3,6 +3,7 @@ use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.rtps_package.all;
use work.Type1_package.all;
package user_config is
@ -84,6 +85,7 @@ package body user_config is
c.HISTORY_DEPTH := std_logic_vector(to_unsigned(5, CDR_LONG_WIDTH));
c.MAX_SAMPLES := std_logic_vector(to_unsigned(20, CDR_LONG_WIDTH));
c.MAX_INSTANCES := std_logic_vector(to_unsigned(5, CDR_LONG_WIDTH));
c.MAX_PAYLOAD_SIZE := MAX_TYPE1_SIZE;
ret := (others => c);