diff --git a/src/TEMPLATE_user_config.vhd b/src/TEMPLATE_user_config.vhd index 46b1aed..b0d2936 100644 --- a/src/TEMPLATE_user_config.vhd +++ b/src/TEMPLATE_user_config.vhd @@ -111,8 +111,6 @@ package user_config is constant ENDPOINT_RELIABILITY_QOS : USER_ENUMERATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_RELIABILITY_QOS); -- (Only relevant to Writers) constant ENDPOINT_MAX_BLOCKING_TIME : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_MAX_BLOCKING_TIME); - -- Array mapping TRANSPORT_PRIORITY QoS to Endpoints (Only relevant to Writers) - constant ENDPOINT_TRANSPORT_PRIORITY_QOS : USER_LONG_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_TRANSPORT_PRIORITY_QOS); -- Array mapping LIFESPAN QoS to Endpoints (Only relevant to Writers) constant ENDPOINT_LIFESPAN_QOS : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_LIFESPAN_QOS); -- Array mapping DESTINATION_ORDER QoS to Endpoints diff --git a/src/Tests/Level_2/L2_Testbench_Lib2_config.vhd b/src/Tests/Level_2/L2_Testbench_Lib2_config.vhd index 4d6c3ab..ec246ad 100644 --- a/src/Tests/Level_2/L2_Testbench_Lib2_config.vhd +++ b/src/Tests/Level_2/L2_Testbench_Lib2_config.vhd @@ -108,8 +108,6 @@ package user_config is constant ENDPOINT_RELIABILITY_QOS : USER_ENUMERATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_RELIABILITY_QOS); -- (Only relevant to Writers) constant ENDPOINT_MAX_BLOCKING_TIME : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_MAX_BLOCKING_TIME); - -- Array mapping TRANSPORT_PRIORITY QoS to Endpoints (Only relevant to Writers) - constant ENDPOINT_TRANSPORT_PRIORITY_QOS : USER_LONG_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_TRANSPORT_PRIORITY_QOS); -- Array mapping LIFESPAN QoS to Endpoints (Only relevant to Writers) constant ENDPOINT_LIFESPAN_QOS : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_LIFESPAN_QOS); -- Array mapping DESTINATION_ORDER QoS to Endpoints diff --git a/src/Tests/Level_2/L2_Testbench_Lib3_config.vhd b/src/Tests/Level_2/L2_Testbench_Lib3_config.vhd index a8e8eed..5619e5b 100644 --- a/src/Tests/Level_2/L2_Testbench_Lib3_config.vhd +++ b/src/Tests/Level_2/L2_Testbench_Lib3_config.vhd @@ -110,8 +110,6 @@ package user_config is constant ENDPOINT_RELIABILITY_QOS : USER_ENUMERATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_RELIABILITY_QOS); -- (Only relevant to Writers) constant ENDPOINT_MAX_BLOCKING_TIME : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_MAX_BLOCKING_TIME); - -- Array mapping TRANSPORT_PRIORITY QoS to Endpoints (Only relevant to Writers) - constant ENDPOINT_TRANSPORT_PRIORITY_QOS : USER_LONG_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_TRANSPORT_PRIORITY_QOS); -- Array mapping LIFESPAN QoS to Endpoints (Only relevant to Writers) constant ENDPOINT_LIFESPAN_QOS : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_LIFESPAN_QOS); -- Array mapping DESTINATION_ORDER QoS to Endpoints diff --git a/src/Tests/Level_2/L2_testbench_Lib4_config.vhd b/src/Tests/Level_2/L2_testbench_Lib4_config.vhd index 0d200ba..c939a98 100644 --- a/src/Tests/Level_2/L2_testbench_Lib4_config.vhd +++ b/src/Tests/Level_2/L2_testbench_Lib4_config.vhd @@ -107,8 +107,6 @@ package user_config is constant ENDPOINT_RELIABILITY_QOS : USER_ENUMERATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_RELIABILITY_QOS); -- (Only relevant to Writers) constant ENDPOINT_MAX_BLOCKING_TIME : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_MAX_BLOCKING_TIME); - -- Array mapping TRANSPORT_PRIORITY QoS to Endpoints (Only relevant to Writers) - constant ENDPOINT_TRANSPORT_PRIORITY_QOS : USER_LONG_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_TRANSPORT_PRIORITY_QOS); -- Array mapping LIFESPAN QoS to Endpoints (Only relevant to Writers) constant ENDPOINT_LIFESPAN_QOS : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_LIFESPAN_QOS); -- Array mapping DESTINATION_ORDER QoS to Endpoints diff --git a/src/Tests/Level_2/L2_testbench_Lib5_config.vhd b/src/Tests/Level_2/L2_testbench_Lib5_config.vhd index 7ffe612..905add4 100644 --- a/src/Tests/Level_2/L2_testbench_Lib5_config.vhd +++ b/src/Tests/Level_2/L2_testbench_Lib5_config.vhd @@ -107,8 +107,6 @@ package user_config is constant ENDPOINT_RELIABILITY_QOS : USER_ENUMERATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_RELIABILITY_QOS); -- (Only relevant to Writers) constant ENDPOINT_MAX_BLOCKING_TIME : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_MAX_BLOCKING_TIME); - -- Array mapping TRANSPORT_PRIORITY QoS to Endpoints (Only relevant to Writers) - constant ENDPOINT_TRANSPORT_PRIORITY_QOS : USER_LONG_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_TRANSPORT_PRIORITY_QOS); -- Array mapping LIFESPAN QoS to Endpoints (Only relevant to Writers) constant ENDPOINT_LIFESPAN_QOS : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_LIFESPAN_QOS); -- Array mapping DESTINATION_ORDER QoS to Endpoints diff --git a/src/Tests/Testbench_Lib1_config.vhd b/src/Tests/Testbench_Lib1_config.vhd index 64e2a78..d48158c 100644 --- a/src/Tests/Testbench_Lib1_config.vhd +++ b/src/Tests/Testbench_Lib1_config.vhd @@ -193,11 +193,6 @@ package user_config is NUM_READERS+7 => gen_duration(2,0), others => DEFAULT_MAX_BLOCKING_TIME ); - -- Array mapping TRANSPORT_PRIORITY QoS to Endpoints (Only relevant to Writers) - constant ENDPOINT_TRANSPORT_PRIORITY_QOS : USER_LONG_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := ( - NUM_READERS+7 => std_logic_vector(to_unsigned(3, CDR_LONG_WIDTH)), - others => DEFAULT_TRANSPORT_PRIORITY_QOS - ); -- Array mapping LIFESPAN QoS to Endpoints (Only relevant to Writers) constant ENDPOINT_LIFESPAN_QOS : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := ( NUM_READERS+7 => gen_duration(30,0), diff --git a/src/rtps_config_package.vhd b/src/rtps_config_package.vhd index dd840b4..ef5a252 100644 --- a/src/rtps_config_package.vhd +++ b/src/rtps_config_package.vhd @@ -194,7 +194,6 @@ package body rtps_config_package is assert (ENDPOINT_TIME_BASED_FILTER_QOS'length = NUM_ENDPOINTS) report "Endpoint arrays have to be NUM_ENDPOINTS long" severity failure; assert (ENDPOINT_RELIABILITY_QOS'length = NUM_ENDPOINTS) report "Endpoint arrays have to be NUM_ENDPOINTS long" severity failure; assert (ENDPOINT_MAX_BLOCKING_TIME'length = NUM_ENDPOINTS) report "Endpoint arrays have to be NUM_ENDPOINTS long" severity failure; - assert (ENDPOINT_TRANSPORT_PRIORITY_QOS'length = NUM_ENDPOINTS) report "Endpoint arrays have to be NUM_ENDPOINTS long" severity failure; assert (ENDPOINT_LIFESPAN_QOS'length = NUM_ENDPOINTS) report "Endpoint arrays have to be NUM_ENDPOINTS long" severity failure; assert (ENDPOINT_DESTINATION_ORDER_QOS'length = NUM_ENDPOINTS) report "Endpoint arrays have to be NUM_ENDPOINTS long" severity failure; assert (ENDPOINT_HISTORY_QOS'length = NUM_ENDPOINTS) report "Endpoint arrays have to be NUM_ENDPOINTS long" severity failure; @@ -717,13 +716,6 @@ package body rtps_config_package is len := len + 1; ret.data(ind+len) := std_logic_vector(ENDPOINT_MAX_BLOCKING_TIME(i)(1)); end if; - -- TRANSPORT PRIORITY - if (ENDPOINT_TRANSPORT_PRIORITY_QOS(i) /= DEFAULT_TRANSPORT_PRIORITY_QOS) then - len := len + 1; - ret.data(ind+len) := PID_TRANSPORT_PRIORITY & std_logic_vector(to_unsigned(4, 16)); - len := len + 1; - ret.data(ind+len) := ENDPOINT_TRANSPORT_PRIORITY_QOS(i); - end if; -- LIFESPAN if (ENDPOINT_LIFESPAN_QOS(i) /= DEFAULT_LIFESPAN_QOS) then len := len + 1; @@ -849,13 +841,6 @@ package body rtps_config_package is ret.length := ret.length + 1; ret.data(ret.length) := std_logic_vector(ENDPOINT_MAX_BLOCKING_TIME(id)(1)); end if; - -- TRANSPORT PRIORITY - if (ENDPOINT_TRANSPORT_PRIORITY_QOS(id) /= DEFAULT_TRANSPORT_PRIORITY_QOS) then - ret.length := ret.length + 1; - ret.data(ret.length) := PID_TRANSPORT_PRIORITY & std_logic_vector(to_unsigned(4, 16)); - ret.length := ret.length + 1; - ret.data(ret.length) := ENDPOINT_TRANSPORT_PRIORITY_QOS(id); - end if; -- LIFESPAN if (ENDPOINT_LIFESPAN_QOS(id) /= DEFAULT_LIFESPAN_QOS) then ret.length := ret.length + 1; diff --git a/src/rtps_test_package.vhd b/src/rtps_test_package.vhd index 3f6769c..20852ff 100644 --- a/src/rtps_test_package.vhd +++ b/src/rtps_test_package.vhd @@ -238,7 +238,6 @@ package rtps_test_package is time_based_filter : DURATION_TYPE; reliability : std_logic_vector(CDR_ENUMERATION_WIDTH-1 downto 0); max_blocking_time : DURATION_TYPE; - transport_priority : std_logic_vector(CDR_LONG_WIDTH-1 downto 0); lifespan : DURATION_TYPE; destination_order : std_logic_vector(CDR_ENUMERATION_WIDTH-1 downto 0); expectsInlineQoS : std_logic_vector(CDR_BOOLEAN_WIDTH-1 downto 0); @@ -826,7 +825,6 @@ package body rtps_test_package is time_based_filter => DEFAULT_TIME_BASED_FILTER_QOS, reliability => DEFAULT_RELIABILITY_QOS, max_blocking_time => DEFAULT_MAX_BLOCKING_TIME, - transport_priority => DEFAULT_TRANSPORT_PRIORITY_QOS, lifespan => DEFAULT_LIFESPAN_QOS, destination_order => DEFAULT_DESTINATION_ORDER_QOS, user_data => EMPTY_STRING, @@ -2468,21 +2466,6 @@ package body rtps_test_package is output.length := output.length + offset; end if; end if; - -- TRANSPORT PRIORITY - if (ref.transport_priority /= DEFAULT_TRANSPORT_PRIORITY_QOS or pid = PID_TRANSPORT_PRIORITY) then - if (pid = PID_TRANSPORT_PRIORITY) then - assert (4+(offset*4) >= 0) report "Parameter Length < 0" severity FAILURE; - output.data(output.length) := endian_swap(ref.littleEndian, PID_TRANSPORT_PRIORITY) & endian_swap(ref.littleEndian, int(4+(offset*4),PARAMETER_LENGTH_WIDTH)); - else - output.data(output.length) := endian_swap(ref.littleEndian, PID_TRANSPORT_PRIORITY) & endian_swap(ref.littleEndian, int(4,PARAMETER_LENGTH_WIDTH)); - end if; - output.length := output.length + 1; - output.data(output.length) := endian_swap(ref.littleEndian, ref.transport_priority); - output.length := output.length + 1; - if (pid = PID_TRANSPORT_PRIORITY) then - output.length := output.length + offset; - end if; - end if; -- LIFESPAN if (ref.lifespan /= DEFAULT_LIFESPAN_QOS or pid = PID_LIFESPAN) then if (pid = PID_LIFESPAN) then @@ -2905,21 +2888,6 @@ package body rtps_test_package is output.length := output.length + offset; end if; end if; - -- TRANSPORT PRIORITY - if (endpoint.transport_priority /= DEFAULT_TRANSPORT_PRIORITY_QOS or pid = PID_TRANSPORT_PRIORITY) then - if (pid = PID_TRANSPORT_PRIORITY) then - assert (4+(offset*4) >= 0) report "Parameter Length < 0" severity FAILURE; - output.data(output.length) := endian_swap(littleEndian, PID_TRANSPORT_PRIORITY) & endian_swap(littleEndian, int(4+(offset*4),PARAMETER_LENGTH_WIDTH)); - else - output.data(output.length) := endian_swap(littleEndian, PID_TRANSPORT_PRIORITY) & endian_swap(littleEndian, int(4,PARAMETER_LENGTH_WIDTH)); - end if; - output.length := output.length + 1; - output.data(output.length) := endian_swap(littleEndian, endpoint.transport_priority); - output.length := output.length + 1; - if (pid = PID_TRANSPORT_PRIORITY) then - output.length := output.length + offset; - end if; - end if; -- LIFESPAN if (endpoint.lifespan /= DEFAULT_LIFESPAN_QOS or pid = PID_LIFESPAN) then if (pid = PID_LIFESPAN) then @@ -3019,7 +2987,6 @@ package body rtps_test_package is ret.time_based_filter := ENDPOINT_TIME_BASED_FILTER_QOS(id); ret.reliability := ENDPOINT_RELIABILITY_QOS(id); ret.max_blocking_time := ENDPOINT_MAX_BLOCKING_TIME(id); - ret.transport_priority := ENDPOINT_TRANSPORT_PRIORITY_QOS(id); ret.lifespan := ENDPOINT_LIFESPAN_QOS(id); ret.destination_order := ENDPOINT_DESTINATION_ORDER_QOS(id); ret.expectsInlineQoS(0) := DEFAULT_EXPECTS_INLINE_QOS when (id < NUM_READERS) else '0'; diff --git a/syn/syn_config.vhd b/syn/syn_config.vhd index 2ee73e5..05627fa 100644 --- a/syn/syn_config.vhd +++ b/syn/syn_config.vhd @@ -107,8 +107,6 @@ package user_config is constant ENDPOINT_RELIABILITY_QOS : USER_ENUMERATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_RELIABILITY_QOS); -- (Only relevant to Writers) constant ENDPOINT_MAX_BLOCKING_TIME : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_MAX_BLOCKING_TIME); - -- Array mapping TRANSPORT_PRIORITY QoS to Endpoints (Only relevant to Writers) - constant ENDPOINT_TRANSPORT_PRIORITY_QOS : USER_LONG_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_TRANSPORT_PRIORITY_QOS); -- Array mapping LIFESPAN QoS to Endpoints (Only relevant to Writers) constant ENDPOINT_LIFESPAN_QOS : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_LIFESPAN_QOS); -- Array mapping DESTINATION_ORDER QoS to Endpoints