* General Testbench Update

- Change Testbench naming Convention (File and Internal)
	- Remove Component declarations and use direct instantiating
* Add more static generated SLVs in rtps_config_package
This commit is contained in:
Greek 2020-12-06 19:32:40 +01:00
parent 929fbe5c80
commit b9dff6bd71
33 changed files with 731 additions and 899 deletions

View File

@ -0,0 +1,64 @@
onerror {resume}
quietly WaveActivateNextPane {} 0
add wave -noupdate -divider SYSTEM
add wave -noupdate /L0_rtps_builtin_endpoint_test1/uut/clk
add wave -noupdate /L0_rtps_builtin_endpoint_test1/uut/reset
add wave -noupdate -divider INPUT
add wave -noupdate /L0_rtps_builtin_endpoint_test1/uut/empty
add wave -noupdate /L0_rtps_builtin_endpoint_test1/uut/rd
add wave -noupdate -radix hexadecimal /L0_rtps_builtin_endpoint_test1/uut/data_in
add wave -noupdate /L0_rtps_builtin_endpoint_test1/uut/last_word_in
add wave -noupdate /L0_rtps_builtin_endpoint_test1/uut/last_word_in_latch
add wave -noupdate -divider TESTBENCH
add wave -noupdate /L0_rtps_builtin_endpoint_test1/start
add wave -noupdate /L0_rtps_builtin_endpoint_test1/stim_stage
add wave -noupdate /L0_rtps_builtin_endpoint_test1/stimulus.length
add wave -noupdate /L0_rtps_builtin_endpoint_test1/cnt_stim
add wave -noupdate /L0_rtps_builtin_endpoint_test1/packet_sent
add wave -noupdate -divider {MAIN FSM}
add wave -noupdate /L0_rtps_builtin_endpoint_test1/uut/stage
add wave -noupdate /L0_rtps_builtin_endpoint_test1/uut/stage_next
add wave -noupdate /L0_rtps_builtin_endpoint_test1/uut/cnt
add wave -noupdate /L0_rtps_builtin_endpoint_test1/uut/endpoint_mask
add wave -noupdate /L0_rtps_builtin_endpoint_test1/uut/participant_match
add wave -noupdate -divider {MEM FSM}
add wave -noupdate /L0_rtps_builtin_endpoint_test1/uut/mem_opcode
add wave -noupdate /L0_rtps_builtin_endpoint_test1/uut/mem_op_start
add wave -noupdate /L0_rtps_builtin_endpoint_test1/uut/mem_op_done
add wave -noupdate /L0_rtps_builtin_endpoint_test1/uut/mem_stage
add wave -noupdate /L0_rtps_builtin_endpoint_test1/uut/mem_stage_next
add wave -noupdate /L0_rtps_builtin_endpoint_test1/uut/mem_cnt
add wave -noupdate -radix unsigned /L0_rtps_builtin_endpoint_test1/uut/mem_addr_base
add wave -noupdate -radix unsigned /L0_rtps_builtin_endpoint_test1/uut/addr_res
add wave -noupdate -divider GUARD
add wave -noupdate -radix unsigned /L0_rtps_builtin_endpoint_test1/uut/read_cnt
add wave -noupdate -radix unsigned /L0_rtps_builtin_endpoint_test1/uut/parameter_end
add wave -noupdate /L0_rtps_builtin_endpoint_test1/uut/parse_prc/rd_guard
add wave -noupdate -divider MEMORY
add wave -noupdate -group MEMORY -radix unsigned /L0_rtps_builtin_endpoint_test1/uut/ram_inst/addr
add wave -noupdate -group MEMORY /L0_rtps_builtin_endpoint_test1/uut/ram_inst/wen
add wave -noupdate -group MEMORY /L0_rtps_builtin_endpoint_test1/uut/ram_inst/ren
add wave -noupdate -group MEMORY -radix hexadecimal /L0_rtps_builtin_endpoint_test1/uut/ram_inst/wr_data
add wave -noupdate -group MEMORY -radix hexadecimal /L0_rtps_builtin_endpoint_test1/uut/ram_inst/rd_data
add wave -noupdate -divider MISC
add wave -noupdate /L0_rtps_builtin_endpoint_test1/uut/update_participant_flags
add wave -noupdate -radix unsigned /L0_rtps_builtin_endpoint_test1/uut/mem_seq_nr
add wave -noupdate -radix unsigned /L0_rtps_builtin_endpoint_test1/uut/seq_nr
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {{Cursor 1} {10125000 ps} 1}
quietly wave cursor active 1
configure wave -namecolwidth 149
configure wave -valuecolwidth 144
configure wave -justifyvalue left
configure wave -signalnamewidth 1
configure wave -snapdistance 10
configure wave -datasetprefix 0
configure wave -rowmargin 4
configure wave -childrowmargin 2
configure wave -gridoffset 0
configure wave -gridperiod 1
configure wave -griddelta 40
configure wave -timeline 0
configure wave -timelineunits ps
update
WaveRestoreZoom {9084076 ps} {10232418 ps}

View File

@ -0,0 +1,69 @@
onerror {resume}
quietly WaveActivateNextPane {} 0
add wave -noupdate -divider SYSTEM
add wave -noupdate /L0_rtps_builtin_endpoint_test2/uut/clk
add wave -noupdate /L0_rtps_builtin_endpoint_test2/uut/reset
add wave -noupdate -divider INPUT
add wave -noupdate /L0_rtps_builtin_endpoint_test2/uut/empty
add wave -noupdate /L0_rtps_builtin_endpoint_test2/uut/rd
add wave -noupdate -radix hexadecimal /L0_rtps_builtin_endpoint_test2/uut/data_in
add wave -noupdate /L0_rtps_builtin_endpoint_test2/uut/last_word_in
add wave -noupdate /L0_rtps_builtin_endpoint_test2/uut/last_word_in_latch
add wave -noupdate -divider OUTPUT
add wave -noupdate -radix hexadecimal /L0_rtps_builtin_endpoint_test2/uut/data_out
add wave -noupdate /L0_rtps_builtin_endpoint_test2/uut/endpoint_wr
add wave -noupdate /L0_rtps_builtin_endpoint_test2/uut/last_word_out
add wave -noupdate -divider TESTBENCH
add wave -noupdate /L0_rtps_builtin_endpoint_test2/start
add wave -noupdate /L0_rtps_builtin_endpoint_test2/stim_stage
add wave -noupdate /L0_rtps_builtin_endpoint_test2/stimulus.length
add wave -noupdate /L0_rtps_builtin_endpoint_test2/cnt_stim
add wave -noupdate /L0_rtps_builtin_endpoint_test2/packet_sent
add wave -noupdate /L0_rtps_builtin_endpoint_test2/SB.ItemNumberVar
add wave -noupdate -divider {MAIN FSM}
add wave -noupdate /L0_rtps_builtin_endpoint_test2/uut/stage
add wave -noupdate /L0_rtps_builtin_endpoint_test2/uut/stage_next
add wave -noupdate /L0_rtps_builtin_endpoint_test2/uut/cnt
add wave -noupdate /L0_rtps_builtin_endpoint_test2/uut/endpoint_mask
add wave -noupdate /L0_rtps_builtin_endpoint_test2/uut/participant_match
add wave -noupdate -divider {MEM FSM}
add wave -noupdate -group MEM_FSM /L0_rtps_builtin_endpoint_test2/uut/mem_opcode
add wave -noupdate -group MEM_FSM /L0_rtps_builtin_endpoint_test2/uut/mem_op_start
add wave -noupdate -group MEM_FSM /L0_rtps_builtin_endpoint_test2/uut/mem_op_done
add wave -noupdate -group MEM_FSM /L0_rtps_builtin_endpoint_test2/uut/mem_stage
add wave -noupdate -group MEM_FSM /L0_rtps_builtin_endpoint_test2/uut/mem_stage_next
add wave -noupdate -group MEM_FSM /L0_rtps_builtin_endpoint_test2/uut/mem_cnt
add wave -noupdate -group MEM_FSM -radix unsigned /L0_rtps_builtin_endpoint_test2/uut/mem_addr_base
add wave -noupdate -group MEM_FSM -radix unsigned /L0_rtps_builtin_endpoint_test2/uut/addr_res
add wave -noupdate -divider GUARD
add wave -noupdate -radix unsigned /L0_rtps_builtin_endpoint_test2/uut/read_cnt
add wave -noupdate -radix unsigned /L0_rtps_builtin_endpoint_test2/uut/parameter_end
add wave -noupdate /L0_rtps_builtin_endpoint_test2/uut/parse_prc/rd_guard
add wave -noupdate -divider MEMORY
add wave -noupdate -group MEMORY -radix unsigned /L0_rtps_builtin_endpoint_test2/uut/ram_inst/addr
add wave -noupdate -group MEMORY /L0_rtps_builtin_endpoint_test2/uut/ram_inst/wen
add wave -noupdate -group MEMORY /L0_rtps_builtin_endpoint_test2/uut/ram_inst/ren
add wave -noupdate -group MEMORY -radix hexadecimal /L0_rtps_builtin_endpoint_test2/uut/ram_inst/wr_data
add wave -noupdate -group MEMORY -radix hexadecimal /L0_rtps_builtin_endpoint_test2/uut/ram_inst/rd_data
add wave -noupdate -divider MISC
add wave -noupdate /L0_rtps_builtin_endpoint_test2/uut/update_participant_flags
add wave -noupdate -radix unsigned /L0_rtps_builtin_endpoint_test2/uut/mem_seq_nr
add wave -noupdate -radix unsigned /L0_rtps_builtin_endpoint_test2/uut/seq_nr
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {Begin {63775000 ps} 1} {Error {66975000 ps} 1} {Cursor {33675000 ps} 0}
quietly wave cursor active 1
configure wave -namecolwidth 149
configure wave -valuecolwidth 144
configure wave -justifyvalue left
configure wave -signalnamewidth 1
configure wave -snapdistance 10
configure wave -datasetprefix 0
configure wave -rowmargin 4
configure wave -childrowmargin 2
configure wave -gridoffset 0
configure wave -gridperiod 1
configure wave -griddelta 40
configure wave -timeline 0
configure wave -timelineunits ns
update
WaveRestoreZoom {63200829 ps} {64349171 ps}

View File

@ -0,0 +1,68 @@
onerror {resume}
quietly WaveActivateNextPane {} 0
add wave -noupdate -divider SYSTEM
add wave -noupdate /L0_rtps_builtin_endpoint_test3/uut/clk
add wave -noupdate /L0_rtps_builtin_endpoint_test3/uut/reset
add wave -noupdate -divider INPUT
add wave -noupdate /L0_rtps_builtin_endpoint_test3/uut/empty
add wave -noupdate /L0_rtps_builtin_endpoint_test3/uut/rd
add wave -noupdate -radix hexadecimal /L0_rtps_builtin_endpoint_test3/uut/data_in
add wave -noupdate /L0_rtps_builtin_endpoint_test3/uut/last_word_in
add wave -noupdate /L0_rtps_builtin_endpoint_test3/uut/last_word_in_latch
add wave -noupdate -divider OUTPUT
add wave -noupdate -radix hexadecimal /L0_rtps_builtin_endpoint_test3/uut/data_out
add wave -noupdate /L0_rtps_builtin_endpoint_test3/uut/endpoint_wr
add wave -noupdate /L0_rtps_builtin_endpoint_test3/uut/last_word_out
add wave -noupdate -divider TESTBENCH
add wave -noupdate /L0_rtps_builtin_endpoint_test3/start
add wave -noupdate /L0_rtps_builtin_endpoint_test3/stim_stage
add wave -noupdate /L0_rtps_builtin_endpoint_test3/stimulus.length
add wave -noupdate /L0_rtps_builtin_endpoint_test3/cnt_stim
add wave -noupdate /L0_rtps_builtin_endpoint_test3/packet_sent
add wave -noupdate -divider {MAIN FSM}
add wave -noupdate /L0_rtps_builtin_endpoint_test3/uut/stage
add wave -noupdate /L0_rtps_builtin_endpoint_test3/uut/stage_next
add wave -noupdate /L0_rtps_builtin_endpoint_test3/uut/cnt
add wave -noupdate /L0_rtps_builtin_endpoint_test3/uut/endpoint_mask
add wave -noupdate /L0_rtps_builtin_endpoint_test3/uut/participant_match
add wave -noupdate -divider {MEM FSM}
add wave -noupdate -group MEM_FSM /L0_rtps_builtin_endpoint_test3/uut/mem_opcode
add wave -noupdate -group MEM_FSM /L0_rtps_builtin_endpoint_test3/uut/mem_op_start
add wave -noupdate -group MEM_FSM /L0_rtps_builtin_endpoint_test3/uut/mem_op_done
add wave -noupdate -group MEM_FSM /L0_rtps_builtin_endpoint_test3/uut/mem_stage
add wave -noupdate -group MEM_FSM /L0_rtps_builtin_endpoint_test3/uut/mem_stage_next
add wave -noupdate -group MEM_FSM /L0_rtps_builtin_endpoint_test3/uut/mem_cnt
add wave -noupdate -group MEM_FSM -radix unsigned /L0_rtps_builtin_endpoint_test3/uut/mem_addr_base
add wave -noupdate -group MEM_FSM -radix unsigned /L0_rtps_builtin_endpoint_test3/uut/addr_res
add wave -noupdate -divider GUARD
add wave -noupdate -radix unsigned /L0_rtps_builtin_endpoint_test3/uut/read_cnt
add wave -noupdate -radix unsigned /L0_rtps_builtin_endpoint_test3/uut/parameter_end
add wave -noupdate /L0_rtps_builtin_endpoint_test3/uut/parse_prc/rd_guard
add wave -noupdate -divider MEMORY
add wave -noupdate -group MEMORY -radix unsigned /L0_rtps_builtin_endpoint_test3/uut/ram_inst/addr
add wave -noupdate -group MEMORY /L0_rtps_builtin_endpoint_test3/uut/ram_inst/wen
add wave -noupdate -group MEMORY /L0_rtps_builtin_endpoint_test3/uut/ram_inst/ren
add wave -noupdate -group MEMORY -radix hexadecimal /L0_rtps_builtin_endpoint_test3/uut/ram_inst/wr_data
add wave -noupdate -group MEMORY -radix hexadecimal /L0_rtps_builtin_endpoint_test3/uut/ram_inst/rd_data
add wave -noupdate -divider MISC
add wave -noupdate /L0_rtps_builtin_endpoint_test3/uut/update_participant_flags
add wave -noupdate -radix unsigned /L0_rtps_builtin_endpoint_test3/uut/mem_seq_nr
add wave -noupdate -radix unsigned /L0_rtps_builtin_endpoint_test3/uut/seq_nr
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {Begin {847825000 ps} 1} {Error {851525000 ps} 1} {Cursor {33675000 ps} 0}
quietly wave cursor active 1
configure wave -namecolwidth 149
configure wave -valuecolwidth 144
configure wave -justifyvalue left
configure wave -signalnamewidth 1
configure wave -snapdistance 10
configure wave -datasetprefix 0
configure wave -rowmargin 4
configure wave -childrowmargin 2
configure wave -gridoffset 0
configure wave -gridperiod 1
configure wave -griddelta 40
configure wave -timeline 0
configure wave -timelineunits ns
update
WaveRestoreZoom {848883724 ps} {850032066 ps}

View File

@ -0,0 +1,68 @@
onerror {resume}
quietly WaveActivateNextPane {} 0
add wave -noupdate -divider SYSTEM
add wave -noupdate /L0_rtps_builtin_endpoint_test4/uut/clk
add wave -noupdate /L0_rtps_builtin_endpoint_test4/uut/reset
add wave -noupdate -divider INPUT
add wave -noupdate /L0_rtps_builtin_endpoint_test4/uut/empty
add wave -noupdate /L0_rtps_builtin_endpoint_test4/uut/rd
add wave -noupdate -radix hexadecimal /L0_rtps_builtin_endpoint_test4/uut/data_in
add wave -noupdate /L0_rtps_builtin_endpoint_test4/uut/last_word_in
add wave -noupdate /L0_rtps_builtin_endpoint_test4/uut/last_word_in_latch
add wave -noupdate -divider OUTPUT
add wave -noupdate -radix hexadecimal /L0_rtps_builtin_endpoint_test4/uut/data_out
add wave -noupdate /L0_rtps_builtin_endpoint_test4/uut/endpoint_wr
add wave -noupdate /L0_rtps_builtin_endpoint_test4/uut/last_word_out
add wave -noupdate -divider TESTBENCH
add wave -noupdate /L0_rtps_builtin_endpoint_test4/start
add wave -noupdate /L0_rtps_builtin_endpoint_test4/stim_stage
add wave -noupdate /L0_rtps_builtin_endpoint_test4/stimulus.length
add wave -noupdate /L0_rtps_builtin_endpoint_test4/cnt_stim
add wave -noupdate /L0_rtps_builtin_endpoint_test4/packet_sent
add wave -noupdate -divider {MAIN FSM}
add wave -noupdate /L0_rtps_builtin_endpoint_test4/uut/stage
add wave -noupdate /L0_rtps_builtin_endpoint_test4/uut/stage_next
add wave -noupdate /L0_rtps_builtin_endpoint_test4/uut/cnt
add wave -noupdate /L0_rtps_builtin_endpoint_test4/uut/endpoint_mask
add wave -noupdate /L0_rtps_builtin_endpoint_test4/uut/participant_match
add wave -noupdate -divider {MEM FSM}
add wave -noupdate -group MEM_FSM /L0_rtps_builtin_endpoint_test4/uut/mem_opcode
add wave -noupdate -group MEM_FSM /L0_rtps_builtin_endpoint_test4/uut/mem_op_start
add wave -noupdate -group MEM_FSM /L0_rtps_builtin_endpoint_test4/uut/mem_op_done
add wave -noupdate -group MEM_FSM /L0_rtps_builtin_endpoint_test4/uut/mem_stage
add wave -noupdate -group MEM_FSM /L0_rtps_builtin_endpoint_test4/uut/mem_stage_next
add wave -noupdate -group MEM_FSM /L0_rtps_builtin_endpoint_test4/uut/mem_cnt
add wave -noupdate -group MEM_FSM -radix unsigned /L0_rtps_builtin_endpoint_test4/uut/mem_addr_base
add wave -noupdate -group MEM_FSM -radix unsigned /L0_rtps_builtin_endpoint_test4/uut/addr_res
add wave -noupdate -divider GUARD
add wave -noupdate -radix unsigned /L0_rtps_builtin_endpoint_test4/uut/read_cnt
add wave -noupdate -radix unsigned /L0_rtps_builtin_endpoint_test4/uut/parameter_end
add wave -noupdate /L0_rtps_builtin_endpoint_test4/uut/parse_prc/rd_guard
add wave -noupdate -divider MEMORY
add wave -noupdate -group MEMORY -radix unsigned /L0_rtps_builtin_endpoint_test4/uut/ram_inst/addr
add wave -noupdate -group MEMORY /L0_rtps_builtin_endpoint_test4/uut/ram_inst/wen
add wave -noupdate -group MEMORY /L0_rtps_builtin_endpoint_test4/uut/ram_inst/ren
add wave -noupdate -group MEMORY -radix hexadecimal /L0_rtps_builtin_endpoint_test4/uut/ram_inst/wr_data
add wave -noupdate -group MEMORY -radix hexadecimal /L0_rtps_builtin_endpoint_test4/uut/ram_inst/rd_data
add wave -noupdate -divider MISC
add wave -noupdate /L0_rtps_builtin_endpoint_test4/uut/update_participant_flags
add wave -noupdate -radix unsigned /L0_rtps_builtin_endpoint_test4/uut/mem_seq_nr
add wave -noupdate -radix unsigned /L0_rtps_builtin_endpoint_test4/uut/seq_nr
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {Begin {847825000 ps} 1} {Error {851525000 ps} 1} {Cursor {33675000 ps} 0}
quietly wave cursor active 1
configure wave -namecolwidth 149
configure wave -valuecolwidth 144
configure wave -justifyvalue left
configure wave -signalnamewidth 1
configure wave -snapdistance 10
configure wave -datasetprefix 0
configure wave -rowmargin 4
configure wave -childrowmargin 2
configure wave -gridoffset 0
configure wave -gridperiod 1
configure wave -griddelta 40
configure wave -timeline 0
configure wave -timelineunits ns
update
WaveRestoreZoom {848883724 ps} {850032066 ps}

View File

@ -0,0 +1,68 @@
onerror {resume}
quietly WaveActivateNextPane {} 0
add wave -noupdate -divider SYSTEM
add wave -noupdate /L0_rtps_builtin_endpoint_test5/uut/clk
add wave -noupdate /L0_rtps_builtin_endpoint_test5/uut/reset
add wave -noupdate -divider INPUT
add wave -noupdate /L0_rtps_builtin_endpoint_test5/uut/empty
add wave -noupdate /L0_rtps_builtin_endpoint_test5/uut/rd
add wave -noupdate -radix hexadecimal /L0_rtps_builtin_endpoint_test5/uut/data_in
add wave -noupdate /L0_rtps_builtin_endpoint_test5/uut/last_word_in
add wave -noupdate /L0_rtps_builtin_endpoint_test5/uut/last_word_in_latch
add wave -noupdate -divider OUTPUT
add wave -noupdate -radix hexadecimal /L0_rtps_builtin_endpoint_test5/uut/data_out
add wave -noupdate /L0_rtps_builtin_endpoint_test5/uut/endpoint_wr
add wave -noupdate /L0_rtps_builtin_endpoint_test5/uut/last_word_out
add wave -noupdate -divider TESTBENCH
add wave -noupdate /L0_rtps_builtin_endpoint_test5/start
add wave -noupdate /L0_rtps_builtin_endpoint_test5/stim_stage
add wave -noupdate /L0_rtps_builtin_endpoint_test5/stimulus.length
add wave -noupdate /L0_rtps_builtin_endpoint_test5/cnt_stim
add wave -noupdate /L0_rtps_builtin_endpoint_test5/packet_sent
add wave -noupdate -divider {MAIN FSM}
add wave -noupdate /L0_rtps_builtin_endpoint_test5/uut/stage
add wave -noupdate /L0_rtps_builtin_endpoint_test5/uut/stage_next
add wave -noupdate /L0_rtps_builtin_endpoint_test5/uut/cnt
add wave -noupdate /L0_rtps_builtin_endpoint_test5/uut/endpoint_mask
add wave -noupdate /L0_rtps_builtin_endpoint_test5/uut/participant_match
add wave -noupdate -divider {MEM FSM}
add wave -noupdate -group MEM_FSM /L0_rtps_builtin_endpoint_test5/uut/mem_opcode
add wave -noupdate -group MEM_FSM /L0_rtps_builtin_endpoint_test5/uut/mem_op_start
add wave -noupdate -group MEM_FSM /L0_rtps_builtin_endpoint_test5/uut/mem_op_done
add wave -noupdate -group MEM_FSM /L0_rtps_builtin_endpoint_test5/uut/mem_stage
add wave -noupdate -group MEM_FSM /L0_rtps_builtin_endpoint_test5/uut/mem_stage_next
add wave -noupdate -group MEM_FSM /L0_rtps_builtin_endpoint_test5/uut/mem_cnt
add wave -noupdate -group MEM_FSM -radix unsigned /L0_rtps_builtin_endpoint_test5/uut/mem_addr_base
add wave -noupdate -group MEM_FSM -radix unsigned /L0_rtps_builtin_endpoint_test5/uut/addr_res
add wave -noupdate -divider GUARD
add wave -noupdate -radix unsigned /L0_rtps_builtin_endpoint_test5/uut/read_cnt
add wave -noupdate -radix unsigned /L0_rtps_builtin_endpoint_test5/uut/parameter_end
add wave -noupdate /L0_rtps_builtin_endpoint_test5/uut/parse_prc/rd_guard
add wave -noupdate -divider MEMORY
add wave -noupdate -group MEMORY -radix unsigned /L0_rtps_builtin_endpoint_test5/uut/ram_inst/addr
add wave -noupdate -group MEMORY /L0_rtps_builtin_endpoint_test5/uut/ram_inst/wen
add wave -noupdate -group MEMORY /L0_rtps_builtin_endpoint_test5/uut/ram_inst/ren
add wave -noupdate -group MEMORY -radix hexadecimal /L0_rtps_builtin_endpoint_test5/uut/ram_inst/wr_data
add wave -noupdate -group MEMORY -radix hexadecimal /L0_rtps_builtin_endpoint_test5/uut/ram_inst/rd_data
add wave -noupdate -divider MISC
add wave -noupdate /L0_rtps_builtin_endpoint_test5/uut/update_participant_flags
add wave -noupdate -radix unsigned /L0_rtps_builtin_endpoint_test5/uut/mem_seq_nr
add wave -noupdate -radix unsigned /L0_rtps_builtin_endpoint_test5/uut/seq_nr
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {Begin {847825000 ps} 1} {Error {851525000 ps} 1} {Cursor {33675000 ps} 0}
quietly wave cursor active 1
configure wave -namecolwidth 149
configure wave -valuecolwidth 144
configure wave -justifyvalue left
configure wave -signalnamewidth 1
configure wave -snapdistance 10
configure wave -datasetprefix 0
configure wave -rowmargin 4
configure wave -childrowmargin 2
configure wave -gridoffset 0
configure wave -gridperiod 1
configure wave -griddelta 40
configure wave -timeline 0
configure wave -timelineunits ns
update
WaveRestoreZoom {848883724 ps} {850032066 ps}

View File

@ -0,0 +1,71 @@
onerror {resume}
quietly WaveActivateNextPane {} 0
add wave -noupdate -divider SYSTEM
add wave -noupdate /L0_rtps_builtin_endpoint_test6/uut/clk
add wave -noupdate /L0_rtps_builtin_endpoint_test6/uut/reset
add wave -noupdate -divider INPUT
add wave -noupdate /L0_rtps_builtin_endpoint_test6/uut/empty
add wave -noupdate /L0_rtps_builtin_endpoint_test6/uut/rd
add wave -noupdate -radix hexadecimal /L0_rtps_builtin_endpoint_test6/uut/data_in
add wave -noupdate /L0_rtps_builtin_endpoint_test6/uut/last_word_in
add wave -noupdate /L0_rtps_builtin_endpoint_test6/uut/last_word_in_latch
add wave -noupdate -radix hexadecimal /L0_rtps_builtin_endpoint_test6/uut/time
add wave -noupdate -divider OUTPUT
add wave -noupdate -radix hexadecimal /L0_rtps_builtin_endpoint_test6/uut/data_out
add wave -noupdate /L0_rtps_builtin_endpoint_test6/uut/endpoint_wr
add wave -noupdate /L0_rtps_builtin_endpoint_test6/uut/last_word_out
add wave -noupdate -divider TESTBENCH
add wave -noupdate /L0_rtps_builtin_endpoint_test6/start
add wave -noupdate /L0_rtps_builtin_endpoint_test6/stim_stage
add wave -noupdate /L0_rtps_builtin_endpoint_test6/stimulus.length
add wave -noupdate /L0_rtps_builtin_endpoint_test6/cnt_stim
add wave -noupdate /L0_rtps_builtin_endpoint_test6/packet_sent
add wave -noupdate -divider {MAIN FSM}
add wave -noupdate /L0_rtps_builtin_endpoint_test6/uut/stage
add wave -noupdate /L0_rtps_builtin_endpoint_test6/uut/stage_next
add wave -noupdate /L0_rtps_builtin_endpoint_test6/uut/cnt
add wave -noupdate /L0_rtps_builtin_endpoint_test6/uut/endpoint_mask
add wave -noupdate /L0_rtps_builtin_endpoint_test6/uut/participant_match
add wave -noupdate -radix hexadecimal /L0_rtps_builtin_endpoint_test6/uut/lease_duration
add wave -noupdate -radix hexadecimal /L0_rtps_builtin_endpoint_test6/uut/deadline
add wave -noupdate -divider {MEM FSM}
add wave -noupdate -expand -group MEM_FSM /L0_rtps_builtin_endpoint_test6/uut/mem_opcode
add wave -noupdate -expand -group MEM_FSM /L0_rtps_builtin_endpoint_test6/uut/mem_op_start
add wave -noupdate -expand -group MEM_FSM /L0_rtps_builtin_endpoint_test6/uut/mem_op_done
add wave -noupdate -expand -group MEM_FSM /L0_rtps_builtin_endpoint_test6/uut/mem_stage
add wave -noupdate -expand -group MEM_FSM /L0_rtps_builtin_endpoint_test6/uut/mem_stage_next
add wave -noupdate -expand -group MEM_FSM /L0_rtps_builtin_endpoint_test6/uut/mem_cnt
add wave -noupdate -expand -group MEM_FSM -radix unsigned /L0_rtps_builtin_endpoint_test6/uut/mem_addr_base
add wave -noupdate -expand -group MEM_FSM -radix unsigned /L0_rtps_builtin_endpoint_test6/uut/addr_res
add wave -noupdate -divider GUARD
add wave -noupdate -radix unsigned /L0_rtps_builtin_endpoint_test6/uut/read_cnt
add wave -noupdate -radix unsigned /L0_rtps_builtin_endpoint_test6/uut/parameter_end
add wave -noupdate /L0_rtps_builtin_endpoint_test6/uut/parse_prc/rd_guard
add wave -noupdate -divider MEMORY
add wave -noupdate -expand -group MEMORY -radix unsigned /L0_rtps_builtin_endpoint_test6/uut/ram_inst/addr
add wave -noupdate -expand -group MEMORY /L0_rtps_builtin_endpoint_test6/uut/ram_inst/wen
add wave -noupdate -expand -group MEMORY /L0_rtps_builtin_endpoint_test6/uut/ram_inst/ren
add wave -noupdate -expand -group MEMORY -radix hexadecimal /L0_rtps_builtin_endpoint_test6/uut/ram_inst/wr_data
add wave -noupdate -expand -group MEMORY -radix hexadecimal /L0_rtps_builtin_endpoint_test6/uut/ram_inst/rd_data
add wave -noupdate -divider MISC
add wave -noupdate /L0_rtps_builtin_endpoint_test6/uut/update_participant_flags
add wave -noupdate -radix unsigned /L0_rtps_builtin_endpoint_test6/uut/mem_seq_nr
add wave -noupdate -radix unsigned /L0_rtps_builtin_endpoint_test6/uut/seq_nr
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {Begin {31125000 ps} 1} {Error {45825000 ps} 1} {Cursor {31192063 ps} 0}
quietly wave cursor active 3
configure wave -namecolwidth 149
configure wave -valuecolwidth 144
configure wave -justifyvalue left
configure wave -signalnamewidth 1
configure wave -snapdistance 10
configure wave -datasetprefix 0
configure wave -rowmargin 4
configure wave -childrowmargin 2
configure wave -gridoffset 0
configure wave -gridperiod 1
configure wave -griddelta 40
configure wave -timeline 0
configure wave -timelineunits ns
update
WaveRestoreZoom {30675309 ps} {31823651 ps}

View File

@ -0,0 +1,53 @@
onerror {resume}
quietly WaveActivateNextPane {} 0
add wave -noupdate -divider SYSTEM
add wave -noupdate /L0_rtps_handler_test1/uut/clk
add wave -noupdate /L0_rtps_handler_test1/uut/reset
add wave -noupdate -divider INPUT
add wave -noupdate /L0_rtps_handler_test1/uut/empty
add wave -noupdate /L0_rtps_handler_test1/uut/rd
add wave -noupdate -radix hexadecimal /L0_rtps_handler_test1/uut/data_in
add wave -noupdate -divider OUTPUT
add wave -noupdate -radix hexadecimal /L0_rtps_handler_test1/uut/data_out
add wave -noupdate /L0_rtps_handler_test1/uut/builtin_full
add wave -noupdate /L0_rtps_handler_test1/uut/builtin_wr
add wave -noupdate /L0_rtps_handler_test1/uut/user_full
add wave -noupdate /L0_rtps_handler_test1/uut/user_wr
add wave -noupdate /L0_rtps_handler_test1/uut/last_word_out
add wave -noupdate -divider TESTBENCH
add wave -noupdate /L0_rtps_handler_test1/start
add wave -noupdate /L0_rtps_handler_test1/stimulus.length
add wave -noupdate /L0_rtps_handler_test1/stim_stage
add wave -noupdate /L0_rtps_handler_test1/cnt_stim
add wave -noupdate /L0_rtps_handler_test1/packet_sent
add wave -noupdate /L0_rtps_handler_test1/reference.length
add wave -noupdate /L0_rtps_handler_test1/ref_stage
add wave -noupdate /L0_rtps_handler_test1/cnt_ref
add wave -noupdate /L0_rtps_handler_test1/packet_checked
add wave -noupdate -divider RTPS_HANDLER
add wave -noupdate /L0_rtps_handler_test1/uut/stage
add wave -noupdate /L0_rtps_handler_test1/uut/stage_next
add wave -noupdate /L0_rtps_handler_test1/uut/cnt
add wave -noupdate -radix unsigned /L0_rtps_handler_test1/uut/read_cnt
add wave -noupdate -radix unsigned /L0_rtps_handler_test1/uut/packet_length
add wave -noupdate -radix unsigned /L0_rtps_handler_test1/uut/data_header_end
add wave -noupdate -radix unsigned /L0_rtps_handler_test1/uut/sub_end
add wave -noupdate -divider MISC
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {Begin {80925000 ps} 1} {Error {84575000 ps} 1} {{Cursor 3} {83975000 ps} 0}
quietly wave cursor active 3
configure wave -namecolwidth 132
configure wave -valuecolwidth 91
configure wave -justifyvalue left
configure wave -signalnamewidth 1
configure wave -snapdistance 10
configure wave -datasetprefix 0
configure wave -rowmargin 4
configure wave -childrowmargin 2
configure wave -gridoffset 0
configure wave -gridperiod 1
configure wave -griddelta 40
configure wave -timeline 0
configure wave -timelineunits ps
update
WaveRestoreZoom {83443397 ps} {84642652 ps}

View File

@ -0,0 +1,53 @@
onerror {resume}
quietly WaveActivateNextPane {} 0
add wave -noupdate -divider SYSTEM
add wave -noupdate /L0_rtps_handler_test2/uut/clk
add wave -noupdate /L0_rtps_handler_test2/uut/reset
add wave -noupdate -divider INPUT
add wave -noupdate /L0_rtps_handler_test2/uut/empty
add wave -noupdate /L0_rtps_handler_test2/uut/rd
add wave -noupdate -radix hexadecimal /L0_rtps_handler_test2/uut/data_in
add wave -noupdate -divider OUTPUT
add wave -noupdate -radix hexadecimal /L0_rtps_handler_test2/uut/data_out
add wave -noupdate /L0_rtps_handler_test2/uut/builtin_full
add wave -noupdate /L0_rtps_handler_test2/uut/builtin_wr
add wave -noupdate /L0_rtps_handler_test2/uut/user_full
add wave -noupdate /L0_rtps_handler_test2/uut/user_wr
add wave -noupdate /L0_rtps_handler_test2/uut/last_word_out
add wave -noupdate -divider TESTBENCH
add wave -noupdate /L0_rtps_handler_test2/start
add wave -noupdate /L0_rtps_handler_test2/stimulus.length
add wave -noupdate /L0_rtps_handler_test2/stim_stage
add wave -noupdate /L0_rtps_handler_test2/cnt_stim
add wave -noupdate /L0_rtps_handler_test2/packet_sent
add wave -noupdate /L0_rtps_handler_test2/dummy.length
add wave -noupdate /L0_rtps_handler_test2/ref_stage
add wave -noupdate /L0_rtps_handler_test2/cnt_ref
add wave -noupdate /L0_rtps_handler_test2/packet_checked
add wave -noupdate -divider RTPS_HANDLER
add wave -noupdate /L0_rtps_handler_test2/uut/stage
add wave -noupdate /L0_rtps_handler_test2/uut/stage_next
add wave -noupdate /L0_rtps_handler_test2/uut/cnt
add wave -noupdate -radix unsigned /L0_rtps_handler_test2/uut/read_cnt
add wave -noupdate -radix unsigned /L0_rtps_handler_test2/uut/packet_length
add wave -noupdate -radix unsigned /L0_rtps_handler_test2/uut/data_header_end
add wave -noupdate -radix unsigned /L0_rtps_handler_test2/uut/sub_end
add wave -noupdate -divider MISC
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {Begin {80925000 ps} 1} {Error {84575000 ps} 1} {{Cursor 3} {12725000 ps} 0}
quietly wave cursor active 3
configure wave -namecolwidth 132
configure wave -valuecolwidth 91
configure wave -justifyvalue left
configure wave -signalnamewidth 1
configure wave -snapdistance 10
configure wave -datasetprefix 0
configure wave -rowmargin 4
configure wave -childrowmargin 2
configure wave -gridoffset 0
configure wave -gridperiod 1
configure wave -griddelta 40
configure wave -timeline 0
configure wave -timelineunits ps
update
WaveRestoreZoom {12125373 ps} {13324628 ps}

50
sim/L0_rtps_out_test1.do Normal file
View File

@ -0,0 +1,50 @@
onerror {resume}
quietly WaveActivateNextPane {} 0
add wave -noupdate -divider SYSTEM
add wave -noupdate /L0_rtps_out_test1/uut/clk
add wave -noupdate /L0_rtps_out_test1/uut/reset
add wave -noupdate -divider TESTBENCH
add wave -noupdate /L0_rtps_out_test1/start
add wave -noupdate /L0_rtps_out_test1/packet_sent
add wave -noupdate -divider INPUT
add wave -noupdate -radix hexadecimal /L0_rtps_out_test1/uut/data_in
add wave -noupdate /L0_rtps_out_test1/uut/last_word_in
add wave -noupdate /L0_rtps_out_test1/uut/rd
add wave -noupdate /L0_rtps_out_test1/uut/empty
add wave -noupdate -divider OUTPUT
add wave -noupdate -radix hexadecimal /L0_rtps_out_test1/uut/data_out
add wave -noupdate /L0_rtps_out_test1/uut/wr
add wave -noupdate /L0_rtps_out_test1/uut/full
add wave -noupdate -divider {INPUT FSM}
add wave -noupdate /L0_rtps_out_test1/uut/input_stage
add wave -noupdate /L0_rtps_out_test1/uut/input_stage_next
add wave -noupdate /L0_rtps_out_test1/uut/in_pntr
add wave -noupdate /L0_rtps_out_test1/uut/selector
add wave -noupdate -radix unsigned /L0_rtps_out_test1/uut/length
add wave -noupdate -divider {OUTPUT FSM}
add wave -noupdate /L0_rtps_out_test1/uut/output_stage
add wave -noupdate /L0_rtps_out_test1/uut/output_stage_next
add wave -noupdate /L0_rtps_out_test1/uut/out_pntr
add wave -noupdate -radix unsigned /L0_rtps_out_test1/uut/packet_end
add wave -noupdate -divider MISC
add wave -noupdate /L0_rtps_out_test1/uut/filled
add wave -noupdate /L0_rtps_out_test1/uut/reset_filled
add wave -noupdate /L0_rtps_out_test1/uut/set_filled
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {Error {2175000 ps} 1} {Cursor {12626 ps} 0}
quietly wave cursor active 1
configure wave -namecolwidth 150
configure wave -valuecolwidth 100
configure wave -justifyvalue left
configure wave -signalnamewidth 1
configure wave -snapdistance 10
configure wave -datasetprefix 0
configure wave -rowmargin 4
configure wave -childrowmargin 2
configure wave -gridoffset 0
configure wave -gridperiod 1
configure wave -griddelta 40
configure wave -timeline 0
configure wave -timelineunits ns
update
WaveRestoreZoom {1663 ns} {2687 ns}

View File

@ -0,0 +1,81 @@
onerror {resume}
quietly WaveActivateNextPane {} 0
add wave -noupdate -divider SYSTEM
add wave -noupdate /L1_rtps_builtin_endpoint_test1/uut/clk
add wave -noupdate /L1_rtps_builtin_endpoint_test1/uut/reset
add wave -noupdate -divider INPUT
add wave -noupdate /L1_rtps_builtin_endpoint_test1/uut/empty
add wave -noupdate /L1_rtps_builtin_endpoint_test1/uut/rd
add wave -noupdate -radix hexadecimal /L1_rtps_builtin_endpoint_test1/uut/data_in
add wave -noupdate /L1_rtps_builtin_endpoint_test1/uut/last_word_in
add wave -noupdate /L1_rtps_builtin_endpoint_test1/uut/last_word_in_latch
add wave -noupdate -radix hexadecimal /L1_rtps_builtin_endpoint_test1/uut/time
add wave -noupdate -divider OUTPUT
add wave -noupdate -radix hexadecimal /L1_rtps_builtin_endpoint_test1/uut/data_out
add wave -noupdate /L1_rtps_builtin_endpoint_test1/uut/last_word_out
add wave -noupdate /L1_rtps_builtin_endpoint_test1/uut/rtps_wr
add wave -noupdate /L1_rtps_builtin_endpoint_test1/uut/rtps_full
add wave -noupdate -divider TESTBENCH
add wave -noupdate /L1_rtps_builtin_endpoint_test1/start
add wave -noupdate /L1_rtps_builtin_endpoint_test1/stim_stage
add wave -noupdate /L1_rtps_builtin_endpoint_test1/stimulus.length
add wave -noupdate /L1_rtps_builtin_endpoint_test1/cnt_stim
add wave -noupdate /L1_rtps_builtin_endpoint_test1/packet_sent
add wave -noupdate -divider {MAIN FSM}
add wave -noupdate /L1_rtps_builtin_endpoint_test1/uut/stage
add wave -noupdate /L1_rtps_builtin_endpoint_test1/uut/stage_next
add wave -noupdate /L1_rtps_builtin_endpoint_test1/uut/cnt
add wave -noupdate -divider {MEM FSM}
add wave -noupdate -expand -group MEM_FSM /L1_rtps_builtin_endpoint_test1/uut/mem_opcode
add wave -noupdate -expand -group MEM_FSM /L1_rtps_builtin_endpoint_test1/uut/mem_op_start
add wave -noupdate -expand -group MEM_FSM /L1_rtps_builtin_endpoint_test1/uut/mem_op_done
add wave -noupdate -expand -group MEM_FSM /L1_rtps_builtin_endpoint_test1/uut/mem_stage
add wave -noupdate -expand -group MEM_FSM /L1_rtps_builtin_endpoint_test1/uut/mem_stage_next
add wave -noupdate -expand -group MEM_FSM /L1_rtps_builtin_endpoint_test1/uut/mem_cnt
add wave -noupdate -expand -group MEM_FSM -radix unsigned /L1_rtps_builtin_endpoint_test1/uut/mem_addr_base
add wave -noupdate -expand -group MEM_FSM -radix unsigned /L1_rtps_builtin_endpoint_test1/uut/addr_res
add wave -noupdate -divider GUARD
add wave -noupdate -radix unsigned /L1_rtps_builtin_endpoint_test1/uut/read_cnt
add wave -noupdate -radix unsigned /L1_rtps_builtin_endpoint_test1/uut/parameter_end
add wave -noupdate /L1_rtps_builtin_endpoint_test1/uut/parse_prc/rd_guard
add wave -noupdate -divider MEMORY
add wave -noupdate -expand -group MEMORY -radix unsigned /L1_rtps_builtin_endpoint_test1/uut/ram_inst/addr
add wave -noupdate -expand -group MEMORY /L1_rtps_builtin_endpoint_test1/uut/ram_inst/wen
add wave -noupdate -expand -group MEMORY /L1_rtps_builtin_endpoint_test1/uut/ram_inst/ren
add wave -noupdate -expand -group MEMORY -radix hexadecimal /L1_rtps_builtin_endpoint_test1/uut/ram_inst/wr_data
add wave -noupdate -expand -group MEMORY -radix hexadecimal /L1_rtps_builtin_endpoint_test1/uut/ram_inst/rd_data
add wave -noupdate -divider MISC
add wave -noupdate /L1_rtps_builtin_endpoint_test1/uut/update_participant_flags
add wave -noupdate -radix unsigned /L1_rtps_builtin_endpoint_test1/uut/seq_nr
add wave -noupdate -group FIFO -radix hexadecimal /L1_rtps_builtin_endpoint_test1/fifo_inst/data_in
add wave -noupdate -group FIFO /L1_rtps_builtin_endpoint_test1/fifo_inst/write
add wave -noupdate -group FIFO /L1_rtps_builtin_endpoint_test1/fifo_inst/full
add wave -noupdate -group FIFO -radix hexadecimal /L1_rtps_builtin_endpoint_test1/fifo_inst/data_out
add wave -noupdate -group FIFO /L1_rtps_builtin_endpoint_test1/fifo_inst/read
add wave -noupdate -group FIFO /L1_rtps_builtin_endpoint_test1/fifo_inst/empty
add wave -noupdate -group RTPS_OUT -radix hexadecimal /L1_rtps_builtin_endpoint_test1/rtps_out_inst/data_in
add wave -noupdate -group RTPS_OUT /L1_rtps_builtin_endpoint_test1/rtps_out_inst/last_word_in
add wave -noupdate -group RTPS_OUT /L1_rtps_builtin_endpoint_test1/rtps_out_inst/rd
add wave -noupdate -group RTPS_OUT /L1_rtps_builtin_endpoint_test1/rtps_out_inst/empty
add wave -noupdate -group RTPS_OUT -radix hexadecimal /L1_rtps_builtin_endpoint_test1/rtps_out_inst/data_out
add wave -noupdate -group RTPS_OUT /L1_rtps_builtin_endpoint_test1/rtps_out_inst/wr
add wave -noupdate -group RTPS_OUT /L1_rtps_builtin_endpoint_test1/rtps_out_inst/full
add wave -noupdate -group RTPS_OUT /L1_rtps_builtin_endpoint_test1/rtps_out_inst/selector
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {Begin {31125000 ps} 1} {Error {50874035 ps} 1} {Cursor {28075000 ps} 0}
quietly wave cursor active 2
configure wave -namecolwidth 149
configure wave -valuecolwidth 144
configure wave -justifyvalue left
configure wave -signalnamewidth 1
configure wave -snapdistance 10
configure wave -datasetprefix 0
configure wave -rowmargin 4
configure wave -childrowmargin 2
configure wave -gridoffset 0
configure wave -gridperiod 1
configure wave -griddelta 40
configure wave -timeline 0
configure wave -timelineunits ns
update
WaveRestoreZoom {50582404 ps} {51730746 ps}

View File

@ -1,64 +0,0 @@
onerror {resume}
quietly WaveActivateNextPane {} 0
add wave -noupdate -divider SYSTEM
add wave -noupdate /rtps_builtin_endpoint_test1/uut/clk
add wave -noupdate /rtps_builtin_endpoint_test1/uut/reset
add wave -noupdate -divider INPUT
add wave -noupdate /rtps_builtin_endpoint_test1/uut/empty
add wave -noupdate /rtps_builtin_endpoint_test1/uut/rd
add wave -noupdate -radix hexadecimal /rtps_builtin_endpoint_test1/uut/data_in
add wave -noupdate /rtps_builtin_endpoint_test1/uut/last_word_in
add wave -noupdate /rtps_builtin_endpoint_test1/uut/last_word_in_latch
add wave -noupdate -divider TESTBENCH
add wave -noupdate /rtps_builtin_endpoint_test1/start
add wave -noupdate /rtps_builtin_endpoint_test1/stim_stage
add wave -noupdate /rtps_builtin_endpoint_test1/stimulus.length
add wave -noupdate /rtps_builtin_endpoint_test1/cnt_stim
add wave -noupdate /rtps_builtin_endpoint_test1/packet_sent
add wave -noupdate -divider {MAIN FSM}
add wave -noupdate /rtps_builtin_endpoint_test1/uut/stage
add wave -noupdate /rtps_builtin_endpoint_test1/uut/stage_next
add wave -noupdate /rtps_builtin_endpoint_test1/uut/cnt
add wave -noupdate /rtps_builtin_endpoint_test1/uut/endpoint_mask
add wave -noupdate /rtps_builtin_endpoint_test1/uut/participant_match
add wave -noupdate -divider {MEM FSM}
add wave -noupdate /rtps_builtin_endpoint_test1/uut/mem_opcode
add wave -noupdate /rtps_builtin_endpoint_test1/uut/mem_op_start
add wave -noupdate /rtps_builtin_endpoint_test1/uut/mem_op_done
add wave -noupdate /rtps_builtin_endpoint_test1/uut/mem_stage
add wave -noupdate /rtps_builtin_endpoint_test1/uut/mem_stage_next
add wave -noupdate /rtps_builtin_endpoint_test1/uut/mem_cnt
add wave -noupdate -radix unsigned /rtps_builtin_endpoint_test1/uut/mem_addr_base
add wave -noupdate -radix unsigned /rtps_builtin_endpoint_test1/uut/addr_res
add wave -noupdate -divider GUARD
add wave -noupdate -radix unsigned /rtps_builtin_endpoint_test1/uut/read_cnt
add wave -noupdate -radix unsigned /rtps_builtin_endpoint_test1/uut/parameter_end
add wave -noupdate /rtps_builtin_endpoint_test1/uut/parse_prc/rd_guard
add wave -noupdate -divider MEMORY
add wave -noupdate -group MEMORY -radix unsigned /rtps_builtin_endpoint_test1/uut/ram_inst/addr
add wave -noupdate -group MEMORY /rtps_builtin_endpoint_test1/uut/ram_inst/wen
add wave -noupdate -group MEMORY /rtps_builtin_endpoint_test1/uut/ram_inst/ren
add wave -noupdate -group MEMORY -radix hexadecimal /rtps_builtin_endpoint_test1/uut/ram_inst/wr_data
add wave -noupdate -group MEMORY -radix hexadecimal /rtps_builtin_endpoint_test1/uut/ram_inst/rd_data
add wave -noupdate -divider MISC
add wave -noupdate /rtps_builtin_endpoint_test1/uut/update_participant_flags
add wave -noupdate -radix unsigned /rtps_builtin_endpoint_test1/uut/mem_seq_nr
add wave -noupdate -radix unsigned /rtps_builtin_endpoint_test1/uut/seq_nr
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {{Cursor 1} {10125000 ps} 1}
quietly wave cursor active 1
configure wave -namecolwidth 149
configure wave -valuecolwidth 144
configure wave -justifyvalue left
configure wave -signalnamewidth 1
configure wave -snapdistance 10
configure wave -datasetprefix 0
configure wave -rowmargin 4
configure wave -childrowmargin 2
configure wave -gridoffset 0
configure wave -gridperiod 1
configure wave -griddelta 40
configure wave -timeline 0
configure wave -timelineunits ps
update
WaveRestoreZoom {9084076 ps} {10232418 ps}

View File

@ -1,69 +0,0 @@
onerror {resume}
quietly WaveActivateNextPane {} 0
add wave -noupdate -divider SYSTEM
add wave -noupdate /rtps_builtin_endpoint_test2/uut/clk
add wave -noupdate /rtps_builtin_endpoint_test2/uut/reset
add wave -noupdate -divider INPUT
add wave -noupdate /rtps_builtin_endpoint_test2/uut/empty
add wave -noupdate /rtps_builtin_endpoint_test2/uut/rd
add wave -noupdate -radix hexadecimal /rtps_builtin_endpoint_test2/uut/data_in
add wave -noupdate /rtps_builtin_endpoint_test2/uut/last_word_in
add wave -noupdate /rtps_builtin_endpoint_test2/uut/last_word_in_latch
add wave -noupdate -divider OUTPUT
add wave -noupdate -radix hexadecimal /rtps_builtin_endpoint_test2/uut/data_out
add wave -noupdate /rtps_builtin_endpoint_test2/uut/endpoint_wr
add wave -noupdate /rtps_builtin_endpoint_test2/uut/last_word_out
add wave -noupdate -divider TESTBENCH
add wave -noupdate /rtps_builtin_endpoint_test2/start
add wave -noupdate /rtps_builtin_endpoint_test2/stim_stage
add wave -noupdate /rtps_builtin_endpoint_test2/stimulus.length
add wave -noupdate /rtps_builtin_endpoint_test2/cnt_stim
add wave -noupdate /rtps_builtin_endpoint_test2/packet_sent
add wave -noupdate /rtps_builtin_endpoint_test2/SB.ItemNumberVar
add wave -noupdate -divider {MAIN FSM}
add wave -noupdate /rtps_builtin_endpoint_test2/uut/stage
add wave -noupdate /rtps_builtin_endpoint_test2/uut/stage_next
add wave -noupdate /rtps_builtin_endpoint_test2/uut/cnt
add wave -noupdate /rtps_builtin_endpoint_test2/uut/endpoint_mask
add wave -noupdate /rtps_builtin_endpoint_test2/uut/participant_match
add wave -noupdate -divider {MEM FSM}
add wave -noupdate -group MEM_FSM /rtps_builtin_endpoint_test2/uut/mem_opcode
add wave -noupdate -group MEM_FSM /rtps_builtin_endpoint_test2/uut/mem_op_start
add wave -noupdate -group MEM_FSM /rtps_builtin_endpoint_test2/uut/mem_op_done
add wave -noupdate -group MEM_FSM /rtps_builtin_endpoint_test2/uut/mem_stage
add wave -noupdate -group MEM_FSM /rtps_builtin_endpoint_test2/uut/mem_stage_next
add wave -noupdate -group MEM_FSM /rtps_builtin_endpoint_test2/uut/mem_cnt
add wave -noupdate -group MEM_FSM -radix unsigned /rtps_builtin_endpoint_test2/uut/mem_addr_base
add wave -noupdate -group MEM_FSM -radix unsigned /rtps_builtin_endpoint_test2/uut/addr_res
add wave -noupdate -divider GUARD
add wave -noupdate -radix unsigned /rtps_builtin_endpoint_test2/uut/read_cnt
add wave -noupdate -radix unsigned /rtps_builtin_endpoint_test2/uut/parameter_end
add wave -noupdate /rtps_builtin_endpoint_test2/uut/parse_prc/rd_guard
add wave -noupdate -divider MEMORY
add wave -noupdate -group MEMORY -radix unsigned /rtps_builtin_endpoint_test2/uut/ram_inst/addr
add wave -noupdate -group MEMORY /rtps_builtin_endpoint_test2/uut/ram_inst/wen
add wave -noupdate -group MEMORY /rtps_builtin_endpoint_test2/uut/ram_inst/ren
add wave -noupdate -group MEMORY -radix hexadecimal /rtps_builtin_endpoint_test2/uut/ram_inst/wr_data
add wave -noupdate -group MEMORY -radix hexadecimal /rtps_builtin_endpoint_test2/uut/ram_inst/rd_data
add wave -noupdate -divider MISC
add wave -noupdate /rtps_builtin_endpoint_test2/uut/update_participant_flags
add wave -noupdate -radix unsigned /rtps_builtin_endpoint_test2/uut/mem_seq_nr
add wave -noupdate -radix unsigned /rtps_builtin_endpoint_test2/uut/seq_nr
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {Begin {63775000 ps} 1} {Error {66975000 ps} 1} {Cursor {33675000 ps} 0}
quietly wave cursor active 1
configure wave -namecolwidth 149
configure wave -valuecolwidth 144
configure wave -justifyvalue left
configure wave -signalnamewidth 1
configure wave -snapdistance 10
configure wave -datasetprefix 0
configure wave -rowmargin 4
configure wave -childrowmargin 2
configure wave -gridoffset 0
configure wave -gridperiod 1
configure wave -griddelta 40
configure wave -timeline 0
configure wave -timelineunits ns
update
WaveRestoreZoom {63200829 ps} {64349171 ps}

View File

@ -1,68 +0,0 @@
onerror {resume}
quietly WaveActivateNextPane {} 0
add wave -noupdate -divider SYSTEM
add wave -noupdate /rtps_builtin_endpoint_test3/uut/clk
add wave -noupdate /rtps_builtin_endpoint_test3/uut/reset
add wave -noupdate -divider INPUT
add wave -noupdate /rtps_builtin_endpoint_test3/uut/empty
add wave -noupdate /rtps_builtin_endpoint_test3/uut/rd
add wave -noupdate -radix hexadecimal /rtps_builtin_endpoint_test3/uut/data_in
add wave -noupdate /rtps_builtin_endpoint_test3/uut/last_word_in
add wave -noupdate /rtps_builtin_endpoint_test3/uut/last_word_in_latch
add wave -noupdate -divider OUTPUT
add wave -noupdate -radix hexadecimal /rtps_builtin_endpoint_test3/uut/data_out
add wave -noupdate /rtps_builtin_endpoint_test3/uut/endpoint_wr
add wave -noupdate /rtps_builtin_endpoint_test3/uut/last_word_out
add wave -noupdate -divider TESTBENCH
add wave -noupdate /rtps_builtin_endpoint_test3/start
add wave -noupdate /rtps_builtin_endpoint_test3/stim_stage
add wave -noupdate /rtps_builtin_endpoint_test3/stimulus.length
add wave -noupdate /rtps_builtin_endpoint_test3/cnt_stim
add wave -noupdate /rtps_builtin_endpoint_test3/packet_sent
add wave -noupdate -divider {MAIN FSM}
add wave -noupdate /rtps_builtin_endpoint_test3/uut/stage
add wave -noupdate /rtps_builtin_endpoint_test3/uut/stage_next
add wave -noupdate /rtps_builtin_endpoint_test3/uut/cnt
add wave -noupdate /rtps_builtin_endpoint_test3/uut/endpoint_mask
add wave -noupdate /rtps_builtin_endpoint_test3/uut/participant_match
add wave -noupdate -divider {MEM FSM}
add wave -noupdate -group MEM_FSM /rtps_builtin_endpoint_test3/uut/mem_opcode
add wave -noupdate -group MEM_FSM /rtps_builtin_endpoint_test3/uut/mem_op_start
add wave -noupdate -group MEM_FSM /rtps_builtin_endpoint_test3/uut/mem_op_done
add wave -noupdate -group MEM_FSM /rtps_builtin_endpoint_test3/uut/mem_stage
add wave -noupdate -group MEM_FSM /rtps_builtin_endpoint_test3/uut/mem_stage_next
add wave -noupdate -group MEM_FSM /rtps_builtin_endpoint_test3/uut/mem_cnt
add wave -noupdate -group MEM_FSM -radix unsigned /rtps_builtin_endpoint_test3/uut/mem_addr_base
add wave -noupdate -group MEM_FSM -radix unsigned /rtps_builtin_endpoint_test3/uut/addr_res
add wave -noupdate -divider GUARD
add wave -noupdate -radix unsigned /rtps_builtin_endpoint_test3/uut/read_cnt
add wave -noupdate -radix unsigned /rtps_builtin_endpoint_test3/uut/parameter_end
add wave -noupdate /rtps_builtin_endpoint_test3/uut/parse_prc/rd_guard
add wave -noupdate -divider MEMORY
add wave -noupdate -group MEMORY -radix unsigned /rtps_builtin_endpoint_test3/uut/ram_inst/addr
add wave -noupdate -group MEMORY /rtps_builtin_endpoint_test3/uut/ram_inst/wen
add wave -noupdate -group MEMORY /rtps_builtin_endpoint_test3/uut/ram_inst/ren
add wave -noupdate -group MEMORY -radix hexadecimal /rtps_builtin_endpoint_test3/uut/ram_inst/wr_data
add wave -noupdate -group MEMORY -radix hexadecimal /rtps_builtin_endpoint_test3/uut/ram_inst/rd_data
add wave -noupdate -divider MISC
add wave -noupdate /rtps_builtin_endpoint_test3/uut/update_participant_flags
add wave -noupdate -radix unsigned /rtps_builtin_endpoint_test3/uut/mem_seq_nr
add wave -noupdate -radix unsigned /rtps_builtin_endpoint_test3/uut/seq_nr
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {Begin {847825000 ps} 1} {Error {851525000 ps} 1} {Cursor {33675000 ps} 0}
quietly wave cursor active 1
configure wave -namecolwidth 149
configure wave -valuecolwidth 144
configure wave -justifyvalue left
configure wave -signalnamewidth 1
configure wave -snapdistance 10
configure wave -datasetprefix 0
configure wave -rowmargin 4
configure wave -childrowmargin 2
configure wave -gridoffset 0
configure wave -gridperiod 1
configure wave -griddelta 40
configure wave -timeline 0
configure wave -timelineunits ns
update
WaveRestoreZoom {848883724 ps} {850032066 ps}

View File

@ -1,68 +0,0 @@
onerror {resume}
quietly WaveActivateNextPane {} 0
add wave -noupdate -divider SYSTEM
add wave -noupdate /rtps_builtin_endpoint_test4/uut/clk
add wave -noupdate /rtps_builtin_endpoint_test4/uut/reset
add wave -noupdate -divider INPUT
add wave -noupdate /rtps_builtin_endpoint_test4/uut/empty
add wave -noupdate /rtps_builtin_endpoint_test4/uut/rd
add wave -noupdate -radix hexadecimal /rtps_builtin_endpoint_test4/uut/data_in
add wave -noupdate /rtps_builtin_endpoint_test4/uut/last_word_in
add wave -noupdate /rtps_builtin_endpoint_test4/uut/last_word_in_latch
add wave -noupdate -divider OUTPUT
add wave -noupdate -radix hexadecimal /rtps_builtin_endpoint_test4/uut/data_out
add wave -noupdate /rtps_builtin_endpoint_test4/uut/endpoint_wr
add wave -noupdate /rtps_builtin_endpoint_test4/uut/last_word_out
add wave -noupdate -divider TESTBENCH
add wave -noupdate /rtps_builtin_endpoint_test4/start
add wave -noupdate /rtps_builtin_endpoint_test4/stim_stage
add wave -noupdate /rtps_builtin_endpoint_test4/stimulus.length
add wave -noupdate /rtps_builtin_endpoint_test4/cnt_stim
add wave -noupdate /rtps_builtin_endpoint_test4/packet_sent
add wave -noupdate -divider {MAIN FSM}
add wave -noupdate /rtps_builtin_endpoint_test4/uut/stage
add wave -noupdate /rtps_builtin_endpoint_test4/uut/stage_next
add wave -noupdate /rtps_builtin_endpoint_test4/uut/cnt
add wave -noupdate /rtps_builtin_endpoint_test4/uut/endpoint_mask
add wave -noupdate /rtps_builtin_endpoint_test4/uut/participant_match
add wave -noupdate -divider {MEM FSM}
add wave -noupdate -group MEM_FSM /rtps_builtin_endpoint_test4/uut/mem_opcode
add wave -noupdate -group MEM_FSM /rtps_builtin_endpoint_test4/uut/mem_op_start
add wave -noupdate -group MEM_FSM /rtps_builtin_endpoint_test4/uut/mem_op_done
add wave -noupdate -group MEM_FSM /rtps_builtin_endpoint_test4/uut/mem_stage
add wave -noupdate -group MEM_FSM /rtps_builtin_endpoint_test4/uut/mem_stage_next
add wave -noupdate -group MEM_FSM /rtps_builtin_endpoint_test4/uut/mem_cnt
add wave -noupdate -group MEM_FSM -radix unsigned /rtps_builtin_endpoint_test4/uut/mem_addr_base
add wave -noupdate -group MEM_FSM -radix unsigned /rtps_builtin_endpoint_test4/uut/addr_res
add wave -noupdate -divider GUARD
add wave -noupdate -radix unsigned /rtps_builtin_endpoint_test4/uut/read_cnt
add wave -noupdate -radix unsigned /rtps_builtin_endpoint_test4/uut/parameter_end
add wave -noupdate /rtps_builtin_endpoint_test4/uut/parse_prc/rd_guard
add wave -noupdate -divider MEMORY
add wave -noupdate -group MEMORY -radix unsigned /rtps_builtin_endpoint_test4/uut/ram_inst/addr
add wave -noupdate -group MEMORY /rtps_builtin_endpoint_test4/uut/ram_inst/wen
add wave -noupdate -group MEMORY /rtps_builtin_endpoint_test4/uut/ram_inst/ren
add wave -noupdate -group MEMORY -radix hexadecimal /rtps_builtin_endpoint_test4/uut/ram_inst/wr_data
add wave -noupdate -group MEMORY -radix hexadecimal /rtps_builtin_endpoint_test4/uut/ram_inst/rd_data
add wave -noupdate -divider MISC
add wave -noupdate /rtps_builtin_endpoint_test4/uut/update_participant_flags
add wave -noupdate -radix unsigned /rtps_builtin_endpoint_test4/uut/mem_seq_nr
add wave -noupdate -radix unsigned /rtps_builtin_endpoint_test4/uut/seq_nr
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {Begin {847825000 ps} 1} {Error {851525000 ps} 1} {Cursor {33675000 ps} 0}
quietly wave cursor active 1
configure wave -namecolwidth 149
configure wave -valuecolwidth 144
configure wave -justifyvalue left
configure wave -signalnamewidth 1
configure wave -snapdistance 10
configure wave -datasetprefix 0
configure wave -rowmargin 4
configure wave -childrowmargin 2
configure wave -gridoffset 0
configure wave -gridperiod 1
configure wave -griddelta 40
configure wave -timeline 0
configure wave -timelineunits ns
update
WaveRestoreZoom {848883724 ps} {850032066 ps}

View File

@ -1,68 +0,0 @@
onerror {resume}
quietly WaveActivateNextPane {} 0
add wave -noupdate -divider SYSTEM
add wave -noupdate /rtps_builtin_endpoint_test5/uut/clk
add wave -noupdate /rtps_builtin_endpoint_test5/uut/reset
add wave -noupdate -divider INPUT
add wave -noupdate /rtps_builtin_endpoint_test5/uut/empty
add wave -noupdate /rtps_builtin_endpoint_test5/uut/rd
add wave -noupdate -radix hexadecimal /rtps_builtin_endpoint_test5/uut/data_in
add wave -noupdate /rtps_builtin_endpoint_test5/uut/last_word_in
add wave -noupdate /rtps_builtin_endpoint_test5/uut/last_word_in_latch
add wave -noupdate -divider OUTPUT
add wave -noupdate -radix hexadecimal /rtps_builtin_endpoint_test5/uut/data_out
add wave -noupdate /rtps_builtin_endpoint_test5/uut/endpoint_wr
add wave -noupdate /rtps_builtin_endpoint_test5/uut/last_word_out
add wave -noupdate -divider TESTBENCH
add wave -noupdate /rtps_builtin_endpoint_test5/start
add wave -noupdate /rtps_builtin_endpoint_test5/stim_stage
add wave -noupdate /rtps_builtin_endpoint_test5/stimulus.length
add wave -noupdate /rtps_builtin_endpoint_test5/cnt_stim
add wave -noupdate /rtps_builtin_endpoint_test5/packet_sent
add wave -noupdate -divider {MAIN FSM}
add wave -noupdate /rtps_builtin_endpoint_test5/uut/stage
add wave -noupdate /rtps_builtin_endpoint_test5/uut/stage_next
add wave -noupdate /rtps_builtin_endpoint_test5/uut/cnt
add wave -noupdate /rtps_builtin_endpoint_test5/uut/endpoint_mask
add wave -noupdate /rtps_builtin_endpoint_test5/uut/participant_match
add wave -noupdate -divider {MEM FSM}
add wave -noupdate -group MEM_FSM /rtps_builtin_endpoint_test5/uut/mem_opcode
add wave -noupdate -group MEM_FSM /rtps_builtin_endpoint_test5/uut/mem_op_start
add wave -noupdate -group MEM_FSM /rtps_builtin_endpoint_test5/uut/mem_op_done
add wave -noupdate -group MEM_FSM /rtps_builtin_endpoint_test5/uut/mem_stage
add wave -noupdate -group MEM_FSM /rtps_builtin_endpoint_test5/uut/mem_stage_next
add wave -noupdate -group MEM_FSM /rtps_builtin_endpoint_test5/uut/mem_cnt
add wave -noupdate -group MEM_FSM -radix unsigned /rtps_builtin_endpoint_test5/uut/mem_addr_base
add wave -noupdate -group MEM_FSM -radix unsigned /rtps_builtin_endpoint_test5/uut/addr_res
add wave -noupdate -divider GUARD
add wave -noupdate -radix unsigned /rtps_builtin_endpoint_test5/uut/read_cnt
add wave -noupdate -radix unsigned /rtps_builtin_endpoint_test5/uut/parameter_end
add wave -noupdate /rtps_builtin_endpoint_test5/uut/parse_prc/rd_guard
add wave -noupdate -divider MEMORY
add wave -noupdate -group MEMORY -radix unsigned /rtps_builtin_endpoint_test5/uut/ram_inst/addr
add wave -noupdate -group MEMORY /rtps_builtin_endpoint_test5/uut/ram_inst/wen
add wave -noupdate -group MEMORY /rtps_builtin_endpoint_test5/uut/ram_inst/ren
add wave -noupdate -group MEMORY -radix hexadecimal /rtps_builtin_endpoint_test5/uut/ram_inst/wr_data
add wave -noupdate -group MEMORY -radix hexadecimal /rtps_builtin_endpoint_test5/uut/ram_inst/rd_data
add wave -noupdate -divider MISC
add wave -noupdate /rtps_builtin_endpoint_test5/uut/update_participant_flags
add wave -noupdate -radix unsigned /rtps_builtin_endpoint_test5/uut/mem_seq_nr
add wave -noupdate -radix unsigned /rtps_builtin_endpoint_test5/uut/seq_nr
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {Begin {847825000 ps} 1} {Error {851525000 ps} 1} {Cursor {33675000 ps} 0}
quietly wave cursor active 1
configure wave -namecolwidth 149
configure wave -valuecolwidth 144
configure wave -justifyvalue left
configure wave -signalnamewidth 1
configure wave -snapdistance 10
configure wave -datasetprefix 0
configure wave -rowmargin 4
configure wave -childrowmargin 2
configure wave -gridoffset 0
configure wave -gridperiod 1
configure wave -griddelta 40
configure wave -timeline 0
configure wave -timelineunits ns
update
WaveRestoreZoom {848883724 ps} {850032066 ps}

View File

@ -1,71 +0,0 @@
onerror {resume}
quietly WaveActivateNextPane {} 0
add wave -noupdate -divider SYSTEM
add wave -noupdate /rtps_builtin_endpoint_test6/uut/clk
add wave -noupdate /rtps_builtin_endpoint_test6/uut/reset
add wave -noupdate -divider INPUT
add wave -noupdate /rtps_builtin_endpoint_test6/uut/empty
add wave -noupdate /rtps_builtin_endpoint_test6/uut/rd
add wave -noupdate -radix hexadecimal /rtps_builtin_endpoint_test6/uut/data_in
add wave -noupdate /rtps_builtin_endpoint_test6/uut/last_word_in
add wave -noupdate /rtps_builtin_endpoint_test6/uut/last_word_in_latch
add wave -noupdate -radix hexadecimal /rtps_builtin_endpoint_test6/uut/time
add wave -noupdate -divider OUTPUT
add wave -noupdate -radix hexadecimal /rtps_builtin_endpoint_test6/uut/data_out
add wave -noupdate /rtps_builtin_endpoint_test6/uut/endpoint_wr
add wave -noupdate /rtps_builtin_endpoint_test6/uut/last_word_out
add wave -noupdate -divider TESTBENCH
add wave -noupdate /rtps_builtin_endpoint_test6/start
add wave -noupdate /rtps_builtin_endpoint_test6/stim_stage
add wave -noupdate /rtps_builtin_endpoint_test6/stimulus.length
add wave -noupdate /rtps_builtin_endpoint_test6/cnt_stim
add wave -noupdate /rtps_builtin_endpoint_test6/packet_sent
add wave -noupdate -divider {MAIN FSM}
add wave -noupdate /rtps_builtin_endpoint_test6/uut/stage
add wave -noupdate /rtps_builtin_endpoint_test6/uut/stage_next
add wave -noupdate /rtps_builtin_endpoint_test6/uut/cnt
add wave -noupdate /rtps_builtin_endpoint_test6/uut/endpoint_mask
add wave -noupdate /rtps_builtin_endpoint_test6/uut/participant_match
add wave -noupdate -radix hexadecimal /rtps_builtin_endpoint_test6/uut/lease_duration
add wave -noupdate -radix hexadecimal /rtps_builtin_endpoint_test6/uut/deadline
add wave -noupdate -divider {MEM FSM}
add wave -noupdate -expand -group MEM_FSM /rtps_builtin_endpoint_test6/uut/mem_opcode
add wave -noupdate -expand -group MEM_FSM /rtps_builtin_endpoint_test6/uut/mem_op_start
add wave -noupdate -expand -group MEM_FSM /rtps_builtin_endpoint_test6/uut/mem_op_done
add wave -noupdate -expand -group MEM_FSM /rtps_builtin_endpoint_test6/uut/mem_stage
add wave -noupdate -expand -group MEM_FSM /rtps_builtin_endpoint_test6/uut/mem_stage_next
add wave -noupdate -expand -group MEM_FSM /rtps_builtin_endpoint_test6/uut/mem_cnt
add wave -noupdate -expand -group MEM_FSM -radix unsigned /rtps_builtin_endpoint_test6/uut/mem_addr_base
add wave -noupdate -expand -group MEM_FSM -radix unsigned /rtps_builtin_endpoint_test6/uut/addr_res
add wave -noupdate -divider GUARD
add wave -noupdate -radix unsigned /rtps_builtin_endpoint_test6/uut/read_cnt
add wave -noupdate -radix unsigned /rtps_builtin_endpoint_test6/uut/parameter_end
add wave -noupdate /rtps_builtin_endpoint_test6/uut/parse_prc/rd_guard
add wave -noupdate -divider MEMORY
add wave -noupdate -expand -group MEMORY -radix unsigned /rtps_builtin_endpoint_test6/uut/ram_inst/addr
add wave -noupdate -expand -group MEMORY /rtps_builtin_endpoint_test6/uut/ram_inst/wen
add wave -noupdate -expand -group MEMORY /rtps_builtin_endpoint_test6/uut/ram_inst/ren
add wave -noupdate -expand -group MEMORY -radix hexadecimal /rtps_builtin_endpoint_test6/uut/ram_inst/wr_data
add wave -noupdate -expand -group MEMORY -radix hexadecimal /rtps_builtin_endpoint_test6/uut/ram_inst/rd_data
add wave -noupdate -divider MISC
add wave -noupdate /rtps_builtin_endpoint_test6/uut/update_participant_flags
add wave -noupdate -radix unsigned /rtps_builtin_endpoint_test6/uut/mem_seq_nr
add wave -noupdate -radix unsigned /rtps_builtin_endpoint_test6/uut/seq_nr
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {Begin {31125000 ps} 1} {Error {45825000 ps} 1} {Cursor {31192063 ps} 0}
quietly wave cursor active 3
configure wave -namecolwidth 149
configure wave -valuecolwidth 144
configure wave -justifyvalue left
configure wave -signalnamewidth 1
configure wave -snapdistance 10
configure wave -datasetprefix 0
configure wave -rowmargin 4
configure wave -childrowmargin 2
configure wave -gridoffset 0
configure wave -gridperiod 1
configure wave -griddelta 40
configure wave -timeline 0
configure wave -timelineunits ns
update
WaveRestoreZoom {30675309 ps} {31823651 ps}

View File

@ -1,81 +0,0 @@
onerror {resume}
quietly WaveActivateNextPane {} 0
add wave -noupdate -divider SYSTEM
add wave -noupdate /rtps_builtin_endpoint_test7/uut/clk
add wave -noupdate /rtps_builtin_endpoint_test7/uut/reset
add wave -noupdate -divider INPUT
add wave -noupdate /rtps_builtin_endpoint_test7/uut/empty
add wave -noupdate /rtps_builtin_endpoint_test7/uut/rd
add wave -noupdate -radix hexadecimal /rtps_builtin_endpoint_test7/uut/data_in
add wave -noupdate /rtps_builtin_endpoint_test7/uut/last_word_in
add wave -noupdate /rtps_builtin_endpoint_test7/uut/last_word_in_latch
add wave -noupdate -radix hexadecimal /rtps_builtin_endpoint_test7/uut/time
add wave -noupdate -divider OUTPUT
add wave -noupdate -radix hexadecimal /rtps_builtin_endpoint_test7/uut/data_out
add wave -noupdate /rtps_builtin_endpoint_test7/uut/last_word_out
add wave -noupdate /rtps_builtin_endpoint_test7/uut/rtps_wr
add wave -noupdate /rtps_builtin_endpoint_test7/uut/rtps_full
add wave -noupdate -divider TESTBENCH
add wave -noupdate /rtps_builtin_endpoint_test7/start
add wave -noupdate /rtps_builtin_endpoint_test7/stim_stage
add wave -noupdate /rtps_builtin_endpoint_test7/stimulus.length
add wave -noupdate /rtps_builtin_endpoint_test7/cnt_stim
add wave -noupdate /rtps_builtin_endpoint_test7/packet_sent
add wave -noupdate -divider {MAIN FSM}
add wave -noupdate /rtps_builtin_endpoint_test7/uut/stage
add wave -noupdate /rtps_builtin_endpoint_test7/uut/stage_next
add wave -noupdate /rtps_builtin_endpoint_test7/uut/cnt
add wave -noupdate -divider {MEM FSM}
add wave -noupdate -expand -group MEM_FSM /rtps_builtin_endpoint_test7/uut/mem_opcode
add wave -noupdate -expand -group MEM_FSM /rtps_builtin_endpoint_test7/uut/mem_op_start
add wave -noupdate -expand -group MEM_FSM /rtps_builtin_endpoint_test7/uut/mem_op_done
add wave -noupdate -expand -group MEM_FSM /rtps_builtin_endpoint_test7/uut/mem_stage
add wave -noupdate -expand -group MEM_FSM /rtps_builtin_endpoint_test7/uut/mem_stage_next
add wave -noupdate -expand -group MEM_FSM /rtps_builtin_endpoint_test7/uut/mem_cnt
add wave -noupdate -expand -group MEM_FSM -radix unsigned /rtps_builtin_endpoint_test7/uut/mem_addr_base
add wave -noupdate -expand -group MEM_FSM -radix unsigned /rtps_builtin_endpoint_test7/uut/addr_res
add wave -noupdate -divider GUARD
add wave -noupdate -radix unsigned /rtps_builtin_endpoint_test7/uut/read_cnt
add wave -noupdate -radix unsigned /rtps_builtin_endpoint_test7/uut/parameter_end
add wave -noupdate /rtps_builtin_endpoint_test7/uut/parse_prc/rd_guard
add wave -noupdate -divider MEMORY
add wave -noupdate -expand -group MEMORY -radix unsigned /rtps_builtin_endpoint_test7/uut/ram_inst/addr
add wave -noupdate -expand -group MEMORY /rtps_builtin_endpoint_test7/uut/ram_inst/wen
add wave -noupdate -expand -group MEMORY /rtps_builtin_endpoint_test7/uut/ram_inst/ren
add wave -noupdate -expand -group MEMORY -radix hexadecimal /rtps_builtin_endpoint_test7/uut/ram_inst/wr_data
add wave -noupdate -expand -group MEMORY -radix hexadecimal /rtps_builtin_endpoint_test7/uut/ram_inst/rd_data
add wave -noupdate -divider MISC
add wave -noupdate /rtps_builtin_endpoint_test7/uut/update_participant_flags
add wave -noupdate -radix unsigned /rtps_builtin_endpoint_test7/uut/seq_nr
add wave -noupdate -group FIFO -radix hexadecimal /rtps_builtin_endpoint_test7/fifo_inst/data_in
add wave -noupdate -group FIFO /rtps_builtin_endpoint_test7/fifo_inst/write
add wave -noupdate -group FIFO /rtps_builtin_endpoint_test7/fifo_inst/full
add wave -noupdate -group FIFO -radix hexadecimal /rtps_builtin_endpoint_test7/fifo_inst/data_out
add wave -noupdate -group FIFO /rtps_builtin_endpoint_test7/fifo_inst/read
add wave -noupdate -group FIFO /rtps_builtin_endpoint_test7/fifo_inst/empty
add wave -noupdate -group RTPS_OUT -radix hexadecimal /rtps_builtin_endpoint_test7/rtps_out_inst/data_in
add wave -noupdate -group RTPS_OUT /rtps_builtin_endpoint_test7/rtps_out_inst/last_word_in
add wave -noupdate -group RTPS_OUT /rtps_builtin_endpoint_test7/rtps_out_inst/rd
add wave -noupdate -group RTPS_OUT /rtps_builtin_endpoint_test7/rtps_out_inst/empty
add wave -noupdate -group RTPS_OUT -radix hexadecimal /rtps_builtin_endpoint_test7/rtps_out_inst/data_out
add wave -noupdate -group RTPS_OUT /rtps_builtin_endpoint_test7/rtps_out_inst/wr
add wave -noupdate -group RTPS_OUT /rtps_builtin_endpoint_test7/rtps_out_inst/full
add wave -noupdate -group RTPS_OUT /rtps_builtin_endpoint_test7/rtps_out_inst/selector
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {Begin {31125000 ps} 1} {Error {50874035 ps} 1} {Cursor {28075000 ps} 0}
quietly wave cursor active 2
configure wave -namecolwidth 149
configure wave -valuecolwidth 144
configure wave -justifyvalue left
configure wave -signalnamewidth 1
configure wave -snapdistance 10
configure wave -datasetprefix 0
configure wave -rowmargin 4
configure wave -childrowmargin 2
configure wave -gridoffset 0
configure wave -gridperiod 1
configure wave -griddelta 40
configure wave -timeline 0
configure wave -timelineunits ns
update
WaveRestoreZoom {50582404 ps} {51730746 ps}

View File

@ -1,53 +0,0 @@
onerror {resume}
quietly WaveActivateNextPane {} 0
add wave -noupdate -divider SYSTEM
add wave -noupdate /rtps_handler_test1/uut/clk
add wave -noupdate /rtps_handler_test1/uut/reset
add wave -noupdate -divider INPUT
add wave -noupdate /rtps_handler_test1/uut/empty
add wave -noupdate /rtps_handler_test1/uut/rd
add wave -noupdate -radix hexadecimal /rtps_handler_test1/uut/data_in
add wave -noupdate -divider OUTPUT
add wave -noupdate -radix hexadecimal /rtps_handler_test1/uut/data_out
add wave -noupdate /rtps_handler_test1/uut/builtin_full
add wave -noupdate /rtps_handler_test1/uut/builtin_wr
add wave -noupdate /rtps_handler_test1/uut/user_full
add wave -noupdate /rtps_handler_test1/uut/user_wr
add wave -noupdate /rtps_handler_test1/uut/last_word_out
add wave -noupdate -divider TESTBENCH
add wave -noupdate /rtps_handler_test1/start
add wave -noupdate /rtps_handler_test1/stimulus.length
add wave -noupdate /rtps_handler_test1/stim_stage
add wave -noupdate /rtps_handler_test1/cnt_stim
add wave -noupdate /rtps_handler_test1/packet_sent
add wave -noupdate /rtps_handler_test1/reference.length
add wave -noupdate /rtps_handler_test1/ref_stage
add wave -noupdate /rtps_handler_test1/cnt_ref
add wave -noupdate /rtps_handler_test1/packet_checked
add wave -noupdate -divider RTPS_HANDLER
add wave -noupdate /rtps_handler_test1/uut/stage
add wave -noupdate /rtps_handler_test1/uut/stage_next
add wave -noupdate /rtps_handler_test1/uut/cnt
add wave -noupdate -radix unsigned /rtps_handler_test1/uut/read_cnt
add wave -noupdate -radix unsigned /rtps_handler_test1/uut/packet_length
add wave -noupdate -radix unsigned /rtps_handler_test1/uut/data_header_end
add wave -noupdate -radix unsigned /rtps_handler_test1/uut/sub_end
add wave -noupdate -divider MISC
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {Begin {80925000 ps} 1} {Error {84575000 ps} 1} {{Cursor 3} {83975000 ps} 0}
quietly wave cursor active 3
configure wave -namecolwidth 132
configure wave -valuecolwidth 91
configure wave -justifyvalue left
configure wave -signalnamewidth 1
configure wave -snapdistance 10
configure wave -datasetprefix 0
configure wave -rowmargin 4
configure wave -childrowmargin 2
configure wave -gridoffset 0
configure wave -gridperiod 1
configure wave -griddelta 40
configure wave -timeline 0
configure wave -timelineunits ps
update
WaveRestoreZoom {83443397 ps} {84642652 ps}

View File

@ -1,53 +0,0 @@
onerror {resume}
quietly WaveActivateNextPane {} 0
add wave -noupdate -divider SYSTEM
add wave -noupdate /rtps_handler_test2/uut/clk
add wave -noupdate /rtps_handler_test2/uut/reset
add wave -noupdate -divider INPUT
add wave -noupdate /rtps_handler_test2/uut/empty
add wave -noupdate /rtps_handler_test2/uut/rd
add wave -noupdate -radix hexadecimal /rtps_handler_test2/uut/data_in
add wave -noupdate -divider OUTPUT
add wave -noupdate -radix hexadecimal /rtps_handler_test2/uut/data_out
add wave -noupdate /rtps_handler_test2/uut/builtin_full
add wave -noupdate /rtps_handler_test2/uut/builtin_wr
add wave -noupdate /rtps_handler_test2/uut/user_full
add wave -noupdate /rtps_handler_test2/uut/user_wr
add wave -noupdate /rtps_handler_test2/uut/last_word_out
add wave -noupdate -divider TESTBENCH
add wave -noupdate /rtps_handler_test2/start
add wave -noupdate /rtps_handler_test2/stimulus.length
add wave -noupdate /rtps_handler_test2/stim_stage
add wave -noupdate /rtps_handler_test2/cnt_stim
add wave -noupdate /rtps_handler_test2/packet_sent
add wave -noupdate /rtps_handler_test2/dummy.length
add wave -noupdate /rtps_handler_test2/ref_stage
add wave -noupdate /rtps_handler_test2/cnt_ref
add wave -noupdate /rtps_handler_test2/packet_checked
add wave -noupdate -divider RTPS_HANDLER
add wave -noupdate /rtps_handler_test2/uut/stage
add wave -noupdate /rtps_handler_test2/uut/stage_next
add wave -noupdate /rtps_handler_test2/uut/cnt
add wave -noupdate -radix unsigned /rtps_handler_test2/uut/read_cnt
add wave -noupdate -radix unsigned /rtps_handler_test2/uut/packet_length
add wave -noupdate -radix unsigned /rtps_handler_test2/uut/data_header_end
add wave -noupdate -radix unsigned /rtps_handler_test2/uut/sub_end
add wave -noupdate -divider MISC
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {Begin {80925000 ps} 1} {Error {84575000 ps} 1} {{Cursor 3} {12725000 ps} 0}
quietly wave cursor active 3
configure wave -namecolwidth 132
configure wave -valuecolwidth 91
configure wave -justifyvalue left
configure wave -signalnamewidth 1
configure wave -snapdistance 10
configure wave -datasetprefix 0
configure wave -rowmargin 4
configure wave -childrowmargin 2
configure wave -gridoffset 0
configure wave -gridperiod 1
configure wave -griddelta 40
configure wave -timeline 0
configure wave -timelineunits ps
update
WaveRestoreZoom {12125373 ps} {13324628 ps}

View File

@ -1,50 +0,0 @@
onerror {resume}
quietly WaveActivateNextPane {} 0
add wave -noupdate -divider SYSTEM
add wave -noupdate /rtps_out_test1/uut/clk
add wave -noupdate /rtps_out_test1/uut/reset
add wave -noupdate -divider TESTBENCH
add wave -noupdate /rtps_out_test1/start
add wave -noupdate /rtps_out_test1/packet_sent
add wave -noupdate -divider INPUT
add wave -noupdate -radix hexadecimal /rtps_out_test1/uut/data_in
add wave -noupdate /rtps_out_test1/uut/last_word_in
add wave -noupdate /rtps_out_test1/uut/rd
add wave -noupdate /rtps_out_test1/uut/empty
add wave -noupdate -divider OUTPUT
add wave -noupdate -radix hexadecimal /rtps_out_test1/uut/data_out
add wave -noupdate /rtps_out_test1/uut/wr
add wave -noupdate /rtps_out_test1/uut/full
add wave -noupdate -divider {INPUT FSM}
add wave -noupdate /rtps_out_test1/uut/input_stage
add wave -noupdate /rtps_out_test1/uut/input_stage_next
add wave -noupdate /rtps_out_test1/uut/in_pntr
add wave -noupdate /rtps_out_test1/uut/selector
add wave -noupdate -radix unsigned /rtps_out_test1/uut/length
add wave -noupdate -divider {OUTPUT FSM}
add wave -noupdate /rtps_out_test1/uut/output_stage
add wave -noupdate /rtps_out_test1/uut/output_stage_next
add wave -noupdate /rtps_out_test1/uut/out_pntr
add wave -noupdate -radix unsigned /rtps_out_test1/uut/packet_end
add wave -noupdate -divider MISC
add wave -noupdate /rtps_out_test1/uut/filled
add wave -noupdate /rtps_out_test1/uut/reset_filled
add wave -noupdate /rtps_out_test1/uut/set_filled
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {Error {2175000 ps} 1} {Cursor {12626 ps} 0}
quietly wave cursor active 1
configure wave -namecolwidth 150
configure wave -valuecolwidth 100
configure wave -justifyvalue left
configure wave -signalnamewidth 1
configure wave -snapdistance 10
configure wave -datasetprefix 0
configure wave -rowmargin 4
configure wave -childrowmargin 2
configure wave -gridoffset 0
configure wave -gridperiod 1
configure wave -griddelta 40
configure wave -timeline 0
configure wave -timelineunits ns
update
WaveRestoreZoom {1663 ns} {2687 ns}

View File

@ -20,30 +20,10 @@ use work.rtps_test_package.all;
-- * Big/Little Endian Participant Data
entity rtps_builtin_endpoint_test1 is
entity L0_rtps_builtin_endpoint_test1 is
end entity;
architecture testbench of rtps_builtin_endpoint_test1 is
-- *COMPONENT DECLARATION*
component rtps_builtin_endpoint is
port (
clk : in std_logic;
reset : in std_logic;
empty : in std_logic;
rd : out std_logic;
data_in : in std_logic_vector(WORD_WIDTH-1 downto 0);
data_out : out std_logic_vector(WORD_WIDTH-1 downto 0);
last_word_in : in std_logic;
time : in TIME_TYPE;
endpoint_full : in std_logic_vector(0 to NUM_ENDPOINTS-1);
endpoint_wr : out std_logic_vector(0 to NUM_ENDPOINTS-1);
rtps_wr : out std_logic;
rtps_full : in std_logic;
last_word_out : out std_logic;
alive : in std_logic_vector(0 to NUM_ENDPOINTS-1)
);
end component;
architecture testbench of L0_rtps_builtin_endpoint_test1 is
-- *TYPE DECLARATION*
type TEST_STAGE_TYPE is (IDLE, BUSY);
@ -70,7 +50,7 @@ architecture testbench of rtps_builtin_endpoint_test1 is
begin
-- Unit Under Test
uut : rtps_builtin_endpoint
uut : entity work.rtps_builtin_endpoint(arch)
port map (
clk => clk,
reset => reset,
@ -128,7 +108,7 @@ begin
end procedure;
begin
SetAlertLogName("L0-rtps_builtin_endpoint-participant_matching");
SetAlertLogName("rtps_builtin_endpoint - Level 0 - Participant Matching");
SetAlertEnable(FAILURE, TRUE);
SetAlertEnable(ERROR, TRUE);
SetAlertEnable(WARNING, TRUE);

View File

@ -96,30 +96,10 @@ use work.rtps_test_package.all;
-- Participant 2 announces extra endpoints to test matching for Readers/Writers with unsupported/ignored QoS
entity rtps_builtin_endpoint_test2 is
entity L0_rtps_builtin_endpoint_test2 is
end entity;
architecture testbench of rtps_builtin_endpoint_test2 is
-- *COMPONENT DECLARATION*
component rtps_builtin_endpoint is
port (
clk : in std_logic;
reset : in std_logic;
empty : in std_logic;
rd : out std_logic;
data_in : in std_logic_vector(WORD_WIDTH-1 downto 0);
data_out : out std_logic_vector(WORD_WIDTH-1 downto 0);
last_word_in : in std_logic;
time : in TIME_TYPE;
endpoint_full : in std_logic_vector(0 to NUM_ENDPOINTS-1);
endpoint_wr : out std_logic_vector(0 to NUM_ENDPOINTS-1);
rtps_wr : out std_logic;
rtps_full : in std_logic;
last_word_out : out std_logic;
alive : in std_logic_vector(0 to NUM_ENDPOINTS-1)
);
end component;
architecture testbench of L0_rtps_builtin_endpoint_test2 is
-- *TYPE DECLARATION*
type TEST_STAGE_TYPE is (IDLE, BUSY);
@ -163,7 +143,7 @@ architecture testbench of rtps_builtin_endpoint_test2 is
begin
-- Unit Under Test
uut : rtps_builtin_endpoint
uut : entity work.rtps_builtin_endpoint(arch)
port map (
clk => clk,
reset => reset,
@ -261,7 +241,7 @@ begin
assert (TEST_STRING = "TEST_CONFIG_1") report "user_config incompatible with testbench." severity FAILURE;
SetAlertLogName("L0-rtps_builtin_endpoint-endpoint_matching");
SetAlertLogName("rtps_builtin_endpoint - Level 0 - Endpoint Matching");
SetAlertEnable(FAILURE, TRUE);
SetAlertEnable(ERROR, TRUE);
SetAlertEnable(WARNING, TRUE);

View File

@ -40,30 +40,10 @@ use work.rtps_test_package.all;
-- * PID_UNICAST_LOCATOR
-- * PID_MULTICAST_LOCATOR
entity rtps_builtin_endpoint_test3 is
entity L0_rtps_builtin_endpoint_test3 is
end entity;
architecture testbench of rtps_builtin_endpoint_test3 is
-- *COMPONENT DECLARATION*
component rtps_builtin_endpoint is
port (
clk : in std_logic;
reset : in std_logic;
empty : in std_logic;
rd : out std_logic;
data_in : in std_logic_vector(WORD_WIDTH-1 downto 0);
data_out : out std_logic_vector(WORD_WIDTH-1 downto 0);
last_word_in : in std_logic;
time : in TIME_TYPE;
endpoint_full : in std_logic_vector(0 to NUM_ENDPOINTS-1);
endpoint_wr : out std_logic_vector(0 to NUM_ENDPOINTS-1);
rtps_wr : out std_logic;
rtps_full : in std_logic;
last_word_out : out std_logic;
alive : in std_logic_vector(0 to NUM_ENDPOINTS-1)
);
end component;
architecture testbench of L0_rtps_builtin_endpoint_test3 is
-- *TYPE DECLARATION*
type TEST_STAGE_TYPE is (IDLE, BUSY);
@ -91,7 +71,7 @@ architecture testbench of rtps_builtin_endpoint_test3 is
begin
-- Unit Under Test
uut : rtps_builtin_endpoint
uut : entity work.rtps_builtin_endpoint(arch)
port map (
clk => clk,
reset => reset,
@ -183,7 +163,7 @@ begin
assert (TEST_STRING = "TEST_CONFIG_1") report "user_config incompatible with testbench." severity FAILURE;
SetAlertLogName("L0-rtps_builtin_endpoint-input_handling");
SetAlertLogName("rtps_builtin_endpoint - Level 0 - Input Handling");
SetAlertEnable(FAILURE, TRUE);
SetAlertEnable(ERROR, TRUE);
SetAlertEnable(WARNING, TRUE);

View File

@ -12,30 +12,10 @@ use work.rtps_test_package.all;
-- This testbench tests the internal memory handling of the rtps_builtin_endpoint. (Full Capacity behaviour)
entity rtps_builtin_endpoint_test4 is
entity L0_rtps_builtin_endpoint_test4 is
end entity;
architecture testbench of rtps_builtin_endpoint_test4 is
-- *COMPONENT DECLARATION*
component rtps_builtin_endpoint is
port (
clk : in std_logic;
reset : in std_logic;
empty : in std_logic;
rd : out std_logic;
data_in : in std_logic_vector(WORD_WIDTH-1 downto 0);
data_out : out std_logic_vector(WORD_WIDTH-1 downto 0);
last_word_in : in std_logic;
time : in TIME_TYPE;
endpoint_full : in std_logic_vector(0 to NUM_ENDPOINTS-1);
endpoint_wr : out std_logic_vector(0 to NUM_ENDPOINTS-1);
rtps_wr : out std_logic;
rtps_full : in std_logic;
last_word_out : out std_logic;
alive : in std_logic_vector(0 to NUM_ENDPOINTS-1)
);
end component;
architecture testbench of L0_rtps_builtin_endpoint_test4 is
-- *TYPE DECLARATION*
type TEST_STAGE_TYPE is (IDLE, BUSY);
@ -63,7 +43,7 @@ architecture testbench of rtps_builtin_endpoint_test4 is
begin
-- Unit Under Test
uut : rtps_builtin_endpoint
uut : entity work.rtps_builtin_endpoint(arch)
port map (
clk => clk,
reset => reset,
@ -155,7 +135,7 @@ begin
assert (TEST_STRING = "TEST_CONFIG_1") report "user_config incompatible with testbench." severity FAILURE;
SetAlertLogName("L0-rtps_builtin_endpoint-memory_handling");
SetAlertLogName("rtps_builtin_endpoint - Level 0 - Memory Handling");
SetAlertEnable(FAILURE, TRUE);
SetAlertEnable(ERROR, TRUE);
SetAlertEnable(WARNING, TRUE);

View File

@ -12,30 +12,10 @@ use work.rtps_test_package.all;
-- This testbench tests the sequence number handling of the participant, subscriber, and publisher DATA Submessages.
entity rtps_builtin_endpoint_test5 is
entity L0_rtps_builtin_endpoint_test5 is
end entity;
architecture testbench of rtps_builtin_endpoint_test5 is
-- *COMPONENT DECLARATION*
component rtps_builtin_endpoint is
port (
clk : in std_logic;
reset : in std_logic;
empty : in std_logic;
rd : out std_logic;
data_in : in std_logic_vector(WORD_WIDTH-1 downto 0);
data_out : out std_logic_vector(WORD_WIDTH-1 downto 0);
last_word_in : in std_logic;
time : in TIME_TYPE;
endpoint_full : in std_logic_vector(0 to NUM_ENDPOINTS-1);
endpoint_wr : out std_logic_vector(0 to NUM_ENDPOINTS-1);
rtps_wr : out std_logic;
rtps_full : in std_logic;
last_word_out : out std_logic;
alive : in std_logic_vector(0 to NUM_ENDPOINTS-1)
);
end component;
architecture testbench of L0_rtps_builtin_endpoint_test5 is
-- *TYPE DECLARATION*
type TEST_STAGE_TYPE is (IDLE, BUSY);
@ -71,7 +51,7 @@ architecture testbench of rtps_builtin_endpoint_test5 is
begin
-- Unit Under Test
uut : rtps_builtin_endpoint
uut : entity work.rtps_builtin_endpoint(arch)
port map (
clk => clk,
reset => reset,
@ -163,7 +143,7 @@ begin
assert (TEST_STRING = "TEST_CONFIG_1") report "user_config incompatible with testbench." severity FAILURE;
SetAlertLogName("L0-rtps_builtin_endpoint-sequence_number_handling");
SetAlertLogName("rtps_builtin_endpoint - Level 0 - Sequence Number Handling");
SetAlertEnable(FAILURE, TRUE);
SetAlertEnable(ERROR, TRUE);
SetAlertEnable(WARNING, TRUE);

View File

@ -16,30 +16,10 @@ use work.rtps_test_package.all;
-- and the removal of Participant 0 and 2 is checked (in that order).
-- Note that dummy packets are sent in between to trigger the memory contents checks, since the stale checks are done in between packet processing.
entity rtps_builtin_endpoint_test6 is
entity L0_rtps_builtin_endpoint_test6 is
end entity;
architecture testbench of rtps_builtin_endpoint_test6 is
-- *COMPONENT DECLARATION*
component rtps_builtin_endpoint is
port (
clk : in std_logic;
reset : in std_logic;
empty : in std_logic;
rd : out std_logic;
data_in : in std_logic_vector(WORD_WIDTH-1 downto 0);
data_out : out std_logic_vector(WORD_WIDTH-1 downto 0);
last_word_in : in std_logic;
time : in TIME_TYPE;
endpoint_full : in std_logic_vector(0 to NUM_ENDPOINTS-1);
endpoint_wr : out std_logic_vector(0 to NUM_ENDPOINTS-1);
rtps_wr : out std_logic;
rtps_full : in std_logic;
last_word_out : out std_logic;
alive : in std_logic_vector(0 to NUM_ENDPOINTS-1)
);
end component;
architecture testbench of L0_rtps_builtin_endpoint_test6 is
-- *TYPE DECLARATION*
type TEST_STAGE_TYPE is (IDLE, BUSY);
@ -76,7 +56,7 @@ architecture testbench of rtps_builtin_endpoint_test6 is
begin
-- Unit Under Test
uut : rtps_builtin_endpoint
uut : entity work.rtps_builtin_endpoint(arch)
port map (
clk => clk,
reset => reset,
@ -144,7 +124,7 @@ begin
assert (TEST_STRING = "TEST_CONFIG_1") report "user_config incompatible with testbench." severity FAILURE;
SetAlertLogName("L0-rtps_builtin_endpoint-stale_participant_handling");
SetAlertLogName("rtps_builtin_endpoint - Level 0 - Stale Participant Handling");
SetAlertEnable(FAILURE, TRUE);
SetAlertEnable(ERROR, TRUE);
SetAlertEnable(WARNING, TRUE);

View File

@ -79,27 +79,10 @@ use work.rtps_test_package.all;
-- * NACK_FRAG Submessage
-- * UKNOWN SID
entity rtps_handler_test1 is
entity L0_rtps_handler_test1 is
end entity;
architecture testbench of rtps_handler_test1 is
-- *COMPONENT DECLARATION*
component rtps_handler is
port (
clk : in std_logic; -- Input Clock
reset : in std_logic; -- Synchronous Reset
empty : in std_logic; -- Input FIFO empty flag
rd : out std_logic; -- Input FIFO read signal
data_in : in std_logic_vector(WORD_WIDTH-1 downto 0); -- Input FIFO data signal
data_out : out std_logic_vector(WORD_WIDTH-1 downto 0); -- Output data signal
builtin_full : in std_logic; -- Output FIFO (Built-In Endpoint) full signal
builtin_wr : out std_logic; -- Output FIFO (Built-In Endpoint) write signal
user_full : in std_logic_vector(0 to NUM_ENDPOINTS-1); -- Output FIFO (User Endpoints) full signal
user_wr : out std_logic_vector(0 to NUM_ENDPOINTS-1); -- Output FIFO (User Endpoints) write signal
last_word_out : out std_logic -- Output FIFO Last Word signal
);
end component;
architecture testbench of L0_rtps_handler_test1 is
-- *TYPE DECLARATION*
type TEST_STAGE_TYPE is (IDLE, BUSY);
@ -126,7 +109,7 @@ architecture testbench of rtps_handler_test1 is
begin
-- Unit Under Test
uut : rtps_handler
uut : entity work.rtps_handler(arch)
port map (
clk => clk,
reset => reset,
@ -170,7 +153,7 @@ begin
end procedure;
begin
SetAlertLogName("L0-rtps_handler-input_handling");
SetAlertLogName("rtps_handler - Level 0 - Input Handling");
SetAlertEnable(FAILURE, TRUE);
SetAlertEnable(ERROR, TRUE);
SetAlertEnable(WARNING, TRUE);

View File

@ -17,27 +17,10 @@ use work.rtps_test_package.all;
-- * READER/WRITER Source
entity rtps_handler_test2 is
entity L0_rtps_handler_test2 is
end entity;
architecture testbench of rtps_handler_test2 is
-- *COMPONENT DECLARATION*
component rtps_handler is
port (
clk : in std_logic; -- Input Clock
reset : in std_logic; -- Synchronous Reset
empty : in std_logic; -- Input FIFO empty flag
rd : out std_logic; -- Input FIFO read signal
data_in : in std_logic_vector(WORD_WIDTH-1 downto 0); -- Input FIFO data signal
data_out : out std_logic_vector(WORD_WIDTH-1 downto 0); -- Output data signal
builtin_full : in std_logic; -- Output FIFO (Built-In Endpoint) full signal
builtin_wr : out std_logic; -- Output FIFO (Built-In Endpoint) write signal
user_full : in std_logic_vector(0 to NUM_ENDPOINTS-1); -- Output FIFO (User Endpoints) full signal
user_wr : out std_logic_vector(0 to NUM_ENDPOINTS-1); -- Output FIFO (User Endpoints) write signal
last_word_out : out std_logic -- Output FIFO Last Word signal
);
end component;
architecture testbench of L0_rtps_handler_test2 is
-- *TYPE DECLARATION*
type TEST_STAGE_TYPE is (IDLE, BUSY);
@ -65,7 +48,7 @@ architecture testbench of rtps_handler_test2 is
begin
-- Unit Under Test
uut : rtps_handler
uut : entity work.rtps_handler(arch)
port map (
clk => clk,
reset => reset,
@ -108,7 +91,7 @@ begin
end procedure;
begin
SetAlertLogName("L0-rtps_handler-output_routing");
SetAlertLogName("rtps_handler - Level 0 - Output Routing");
SetAlertEnable(FAILURE, TRUE);
SetAlertEnable(ERROR, TRUE);
SetAlertEnable(WARNING, TRUE);

View File

@ -22,28 +22,10 @@ use work.rtps_test_package.all;
-- Add one random sized packet to each available input port. The expected order is: t1+1p1, t1+2p1,...,t1p1.
-- Note that during the beginning of this test the UUT should be processing input port t1.
entity rtps_out_test1 is
entity L0_rtps_out_test1 is
end entity;
architecture testbench of rtps_out_test1 is
-- *COMPONENT DECLARATION*
component rtps_out is
generic (
MAX_BUFFER_SIZE : natural := 65508/4
);
port (
clk : in std_logic;
reset : in std_logic;
data_in : in RTPS_OUT_DATA_TYPE;
last_word_in: in std_logic_vector(0 to NUM_ENDPOINTS);
rd : out std_logic_vector(0 to NUM_ENDPOINTS);
empty : in std_logic_vector(0 to NUM_ENDPOINTS);
data_out : out std_logic_vector(WORD_WIDTH-1 downto 0);
wr : out std_logic;
full : in std_logic
);
end component;
architecture testbench of L0_rtps_out_test1 is
-- *CONSTANT DECLARATION*
constant MAX_SIZE : natural := 20;
@ -110,7 +92,7 @@ begin
empty <= packet_sent;
-- Unit Under Test
uut: rtps_out
uut : entity work.rtps_out(arch)
generic map (
MAX_BUFFER_SIZE => MAX_SIZE-3
)
@ -160,7 +142,7 @@ begin
assert (NUM_ENDPOINTS >= 2) report "Testbench needs at least 2 Endpoints" severity FAILURE;
assert (MAX_SIZE > 4) report "MAX_SIZE has to be larger than 4" severity FAILURE;
SetAlertLogName("L0-rtps_out");
SetAlertLogName("rtps_out - Level 0 - Generic");
SetAlertEnable(FAILURE, TRUE);
SetAlertEnable(ERROR, TRUE);
SetAlertEnable(WARNING, TRUE);

View File

@ -68,12 +68,16 @@ use work.rtps_test_package.all;
-- * 3.000s
-- - Local HEARTBEAT generation
-- - Liveliness Assertion (Manual & Auto)
-- * 31.000s
-- - Local participant Announcement
-- - Local HEARTBEAT generation
-- - Liveliness Assertion (Auto only)
entity rtps_builtin_endpoint_test7 is
entity L1_rtps_builtin_endpoint_test1 is
end entity;
architecture testbench of rtps_builtin_endpoint_test7 is
architecture testbench of L1_rtps_builtin_endpoint_test1 is
-- *TYPE DECLARATION*
type TEST_STAGE_TYPE is (IDLE, BUSY);
@ -472,7 +476,7 @@ begin
assert (TEST_STRING = "TEST_CONFIG_1") report "user_config incompatible with testbench." severity FAILURE;
SetAlertLogName("L0-rtps_builtin_endpoint-stale_participant_handling");
SetAlertLogName("rtps_builtin_endpoint - Level 1 - RTPS Output");
SetAlertEnable(FAILURE, TRUE);
SetAlertEnable(ERROR, TRUE);
SetAlertEnable(WARNING, TRUE);

View File

@ -12,27 +12,27 @@ analyze ../rtps_handler.vhd
analyze ../rtps_builtin_endpoint.vhd
analyze ../rtps_out.vhd
analyze ../FWFT_FIFO.vhd
analyze Level_0/rtps_handler_test1.vhd
analyze Level_0/rtps_handler_test2.vhd
analyze Level_0/L0_rtps_handler_test1.vhd
analyze Level_0/L0_rtps_handler_test2.vhd
analyze test_ram.vhd
analyze ScoreBoard_test_memory.vhd
analyze ScoreBoard_builtin_endpoint.vhd
analyze Level_0/rtps_builtin_endpoint_test1.vhd
analyze Level_0/rtps_builtin_endpoint_test2.vhd
analyze Level_0/rtps_builtin_endpoint_test3.vhd
analyze Level_0/rtps_builtin_endpoint_test4.vhd
analyze Level_0/rtps_builtin_endpoint_test5.vhd
analyze Level_0/rtps_builtin_endpoint_test6.vhd
analyze Level_0/rtps_out_test1.vhd
analyze Level_1/rtps_builtin_endpoint_test7.vhd
analyze Level_0/L0_rtps_builtin_endpoint_test1.vhd
analyze Level_0/L0_rtps_builtin_endpoint_test2.vhd
analyze Level_0/L0_rtps_builtin_endpoint_test3.vhd
analyze Level_0/L0_rtps_builtin_endpoint_test4.vhd
analyze Level_0/L0_rtps_builtin_endpoint_test5.vhd
analyze Level_0/L0_rtps_builtin_endpoint_test6.vhd
analyze Level_0/L0_rtps_out_test1.vhd
analyze Level_1/L1_rtps_builtin_endpoint_test1.vhd
#simulate rtps_handler_test1
#simulate rtps_handler_test2
#simulate rtps_builtin_endpoint_test1
#simulate rtps_builtin_endpoint_test2
#simulate rtps_builtin_endpoint_test3
#simulate rtps_builtin_endpoint_test4
#simulate rtps_builtin_endpoint_test5
#simulate rtps_builtin_endpoint_test6
#simulate rtps_out_test1
simulate rtps_builtin_endpoint_test7
#simulate L0_rtps_handler_test1
#simulate L0_rtps_handler_test2
#simulate L0_rtps_builtin_endpoint_test1
#simulate L0_rtps_builtin_endpoint_test2
#simulate L0_rtps_builtin_endpoint_test3
#simulate L0_rtps_builtin_endpoint_test4
#simulate L0_rtps_builtin_endpoint_test5
#simulate L0_rtps_builtin_endpoint_test6
#simulate L0_rtps_out_test1
simulate L1_rtps_builtin_endpoint_test1

View File

@ -401,7 +401,7 @@ begin
endpoint_alive <= '0';
-- Set Endpoint Alive Signal, if at least one endpoint asserts liveliness
-- NOTE: Only writer endpoints with a Liveliness QoS of MANUAL_BY_PARTICIPANT as taken into account
elsif ((alive and MANUAL_BY_PARTICIPANT_WRITERS) /= (alive'range => '0')) then
elsif ((alive and MANUAL_BY_PARTICIPANT_LIVELINESS_WRITERS) /= (alive'range => '0')) then
endpoint_alive <= '1';
end if;
end if;
@ -1066,24 +1066,16 @@ begin
case (data_in) is
-- Automatic Liveliness Assertion
when PARTICIPANT_MESSAGE_DATA_KIND_AUTOMATIC_LIVELINESS_UPDATE =>
-- Unmark all readers without automatic liveliness QoS
for i in 0 to NUM_READERS-1 loop
if (AUTOMATIC_LIVELINESS_QOS /= ENDPOINT_LIVELINESS_QOS(i)) then
endpoint_mask_next(i) <= '0';
end if;
end loop;
stage_next <= LIVELINESS_UPDATE;
cnt_next <= 0;
-- Mark all readers with automatic liveliness QoS
endpoint_mask_next <= AUTOMATIC_LIVELINESS_READERS;
stage_next <= LIVELINESS_UPDATE;
cnt_next <= 0;
-- Manual by Participant Liveliness Assertion
when PARTICIPANT_MESSAGE_DATA_KIND_MANUAL_LIVELINESS_UPDATE =>
-- Unmark all readers without manual by participant liveliness QoS
for i in 0 to NUM_READERS-1 loop
if (MANUAL_BY_PARTICIPANT_LIVELINESS_QOS /= ENDPOINT_LIVELINESS_QOS(i)) then
endpoint_mask_next(i) <= '0';
end if;
end loop;
stage_next <= LIVELINESS_UPDATE;
cnt_next <= 0;
-- Mark all readers with manual by participant liveliness QoS
endpoint_mask_next <= MANUAL_BY_PARTICIPANT_LIVELINESS_READERS;
stage_next <= LIVELINESS_UPDATE;
cnt_next <= 0;
-- No Liveliness Update, skip packet
when others =>
stage_next <= SKIP_PACKET;

View File

@ -53,8 +53,14 @@ package rtps_config_package is
-- Marks the Reader Endpoint in the Endpoint Array
constant ENDPOINT_READERS : std_logic_vector(0 to NUM_ENDPOINTS-1) := (0 to NUM_READERS-1 => '1', others => '0');
-- Marks the writers with MANUAL BY PARTICIPANT Qos
constant MANUAL_BY_PARTICIPANT_WRITERS : std_logic_vector(0 to NUM_ENDPOINTS-1); --Deferred to package body
-- Marks the writers with AUTOMATIC Liveliness Qos
constant AUTOMATIC_LIVELINESS_WRITERS : std_logic_vector(0 to NUM_ENDPOINTS-1); --Deferred to package body
-- Marks the writers with MANUAL BY PARTICIPANT Liveliness Qos
constant MANUAL_BY_PARTICIPANT_LIVELINESS_WRITERS : std_logic_vector(0 to NUM_ENDPOINTS-1); --Deferred to package body
-- Marks the readers with AUTOMATIC Liveliness Qos
constant AUTOMATIC_LIVELINESS_READERS : std_logic_vector(0 to NUM_ENDPOINTS-1); --Deferred to package body
-- Marks the readers with MANUAL BY PARTICIPANT Liveliness Qos
constant MANUAL_BY_PARTICIPANT_LIVELINESS_READERS : std_logic_vector(0 to NUM_ENDPOINTS-1); --Deferred to package body
type WORD_ARRAY_TYPE is array (natural range <>) of std_logic_vector(WORD_WIDTH-1 downto 0);
type OUTPUT_DATA_TYPE is record
@ -984,19 +990,21 @@ package body rtps_config_package is
constant READER_DEFAULT_QOS_MATCH : DEFAULT_QOS_MATCH_TYPE := gen_default_qos_match(TRUE);
constant WRITER_DEFAULT_QOS_MATCH : DEFAULT_QOS_MATCH_TYPE := gen_default_qos_match(FALSE);
function gen_manual_by_participant_writers return std_logic_vector is
function gen_liveliness_slv(qos : std_logic_vector(CDR_ENUMERATION_WIDTH-1 downto 0)) return std_logic_vector is
variable ret : std_logic_vector(0 to NUM_ENDPOINTS-1) := (others => '0');
begin
for i in 0 to NUM_ENDPOINTS-1 loop
if (ENDPOINT_LIVELINESS_QOS(i) = MANUAL_BY_PARTICIPANT_LIVELINESS_QOS) then
if (ENDPOINT_LIVELINESS_QOS(i) = qos) then
ret(i) := '1';
end if;
end loop;
ret := ret and (not ENDPOINT_READERS);
return ret;
end function;
constant MANUAL_BY_PARTICIPANT_WRITERS : std_logic_vector(0 to NUM_ENDPOINTS-1) := gen_manual_by_participant_writers;
constant AUTOMATIC_LIVELINESS_WRITERS : std_logic_vector(0 to NUM_ENDPOINTS-1) := gen_liveliness_slv(AUTOMATIC_LIVELINESS_QOS) and (not ENDPOINT_READERS);
constant MANUAL_BY_PARTICIPANT_LIVELINESS_WRITERS : std_logic_vector(0 to NUM_ENDPOINTS-1) := gen_liveliness_slv(MANUAL_BY_PARTICIPANT_LIVELINESS_QOS) and (not ENDPOINT_READERS);
constant AUTOMATIC_LIVELINESS_READERS : std_logic_vector(0 to NUM_ENDPOINTS-1) := gen_liveliness_slv(AUTOMATIC_LIVELINESS_QOS) and ENDPOINT_READERS;
constant MANUAL_BY_PARTICIPANT_LIVELINESS_READERS : std_logic_vector(0 to NUM_ENDPOINTS-1) := gen_liveliness_slv(MANUAL_BY_PARTICIPANT_LIVELINESS_QOS) and ENDPOINT_READERS;
-- Returns the 'data' argument either as is, or with reversed Byte order, depending on the
-- 'swap' argument.