diff --git a/src/Tests/Level_0/rtps_builtin_endpoint_test1.vhd b/src/Tests/Level_0/rtps_builtin_endpoint_test1.vhd index 3c95297..5d55a11 100644 --- a/src/Tests/Level_0/rtps_builtin_endpoint_test1.vhd +++ b/src/Tests/Level_0/rtps_builtin_endpoint_test1.vhd @@ -492,8 +492,11 @@ begin start_test; wait_on_complete; + TranscriptOpen(RESULTS_FILE, APPEND_MODE); + SetTranscriptMirror; AlertIf(GetAffirmCount < check_cnt, "Incomplete test run"); ReportAlerts; + TranscriptClose; std.env.stop; wait; end process; @@ -584,7 +587,7 @@ begin watchdog : process begin - wait for 5 ms; + wait for 1 ms; Alert("Test timeout", FAILURE); std.env.stop; end process; diff --git a/src/Tests/Level_0/rtps_builtin_endpoint_test2.vhd b/src/Tests/Level_0/rtps_builtin_endpoint_test2.vhd index d35b7c7..33ba377 100644 --- a/src/Tests/Level_0/rtps_builtin_endpoint_test2.vhd +++ b/src/Tests/Level_0/rtps_builtin_endpoint_test2.vhd @@ -808,9 +808,12 @@ begin sub_s.data := EMPTY_TEST_PACKET; p2_sns := p2_sns + 1; + TranscriptOpen(RESULTS_FILE, APPEND_MODE); + SetTranscriptMirror; stim_done <= '1'; wait until check_done = '1'; ReportAlerts; + TranscriptClose; std.env.stop; wait; end process; diff --git a/src/Tests/Level_0/rtps_handler_test1.vhd b/src/Tests/Level_0/rtps_handler_test1.vhd index e6e862c..a53e67e 100644 --- a/src/Tests/Level_0/rtps_handler_test1.vhd +++ b/src/Tests/Level_0/rtps_handler_test1.vhd @@ -1300,8 +1300,11 @@ begin stimulus := EMPTY_TEST_PACKET; reference := EMPTY_TEST_PACKET; + TranscriptOpen(RESULTS_FILE, APPEND_MODE); + SetTranscriptMirror; AlertIf(GetAffirmCount < check_cnt, "Incomplete test run"); ReportAlerts; + TranscriptClose; std.env.stop; wait; end process; diff --git a/src/Tests/Level_0/rtps_handler_test2.vhd b/src/Tests/Level_0/rtps_handler_test2.vhd index a9b3d35..849f113 100644 --- a/src/Tests/Level_0/rtps_handler_test2.vhd +++ b/src/Tests/Level_0/rtps_handler_test2.vhd @@ -238,8 +238,11 @@ begin end loop; end loop; + TranscriptOpen(RESULTS_FILE, APPEND_MODE); + SetTranscriptMirror; AlertIf(GetAffirmCount < check_cnt, "Incomplete test run"); ReportAlerts; + TranscriptClose; std.env.stop; wait; end process; diff --git a/src/rtps_test_package.vhd b/src/rtps_test_package.vhd index 368813f..f8532bd 100644 --- a/src/rtps_test_package.vhd +++ b/src/rtps_test_package.vhd @@ -12,6 +12,8 @@ use work.rtps_config_package.all; package rtps_test_package is + constant RESULTS_FILE : string := "./Test_Results.txt"; + constant DEFAULT_GUIDPREFIX : GUIDPREFIX_TYPE; -- Deferred to Package Body constant DEFAULT_ENTITYID : std_logic_vector(ENTITYID_WIDTH-1 downto 0); -- Deferred to Package Body