diff --git a/sim/L1_AddTwoInts_ros_srv_test1.do b/sim/L1_AddTwoInts_ros_srv_test1.do new file mode 100644 index 0000000..5f9e4cf --- /dev/null +++ b/sim/L1_AddTwoInts_ros_srv_test1.do @@ -0,0 +1,105 @@ +onerror {resume} +radix define ROS_RETCODE { + "10#0#" "ROS_RET_OK", + "10#1#" "ROS_RET_ERROR", + "10#2#" "ROS_RET_TIMEOUT", + "10#3#" "ROS_RET_UNSUPPORTED", + -default unsigned +} +quietly WaveActivateNextPane {} 0 +add wave -noupdate -divider SYSTEM +add wave -noupdate /l1_addtwoints_ros_srv_test1/clk +add wave -noupdate /l1_addtwoints_ros_srv_test1/reset +add wave -noupdate -divider CLIENT +add wave -noupdate -group CPORTS /l1_addtwoints_ros_srv_test1/uut_c/start_r +add wave -noupdate -group CPORTS /l1_addtwoints_ros_srv_test1/uut_c/ack_r +add wave -noupdate -group CPORTS /l1_addtwoints_ros_srv_test1/uut_c/get_data_r +add wave -noupdate -group CPORTS /l1_addtwoints_ros_srv_test1/uut_c/done_r +add wave -noupdate -group CPORTS /l1_addtwoints_ros_srv_test1/uut_c/valid_in_r +add wave -noupdate -group CPORTS /l1_addtwoints_ros_srv_test1/uut_c/ready_in_r +add wave -noupdate -group CPORTS -radix hexadecimal /l1_addtwoints_ros_srv_test1/uut_c/data_in_r +add wave -noupdate -group CPORTS /l1_addtwoints_ros_srv_test1/uut_c/last_word_in_r +add wave -noupdate -group CPORTS /l1_addtwoints_ros_srv_test1/uut_c/si_valid_data_r +add wave -noupdate -group CPORTS /l1_addtwoints_ros_srv_test1/uut_c/si_valid_r +add wave -noupdate -group CPORTS /l1_addtwoints_ros_srv_test1/uut_c/si_ack_r +add wave -noupdate -group CPORTS /l1_addtwoints_ros_srv_test1/uut_c/eoc_r +add wave -noupdate -group CPORTS /l1_addtwoints_ros_srv_test1/uut_c/start_w +add wave -noupdate -group CPORTS /l1_addtwoints_ros_srv_test1/uut_c/ack_w +add wave -noupdate -group CPORTS /l1_addtwoints_ros_srv_test1/uut_c/done_w +add wave -noupdate -group CPORTS /l1_addtwoints_ros_srv_test1/uut_c/valid_out_w +add wave -noupdate -group CPORTS /l1_addtwoints_ros_srv_test1/uut_c/ready_out_w +add wave -noupdate -group CPORTS -radix hexadecimal /l1_addtwoints_ros_srv_test1/uut_c/data_out_w +add wave -noupdate -group CPORTS /l1_addtwoints_ros_srv_test1/uut_c/last_word_out_w +add wave -noupdate -group CPORTS /l1_addtwoints_ros_srv_test1/uut_c/start_user +add wave -noupdate -group CPORTS /l1_addtwoints_ros_srv_test1/uut_c/ack_user +add wave -noupdate -group CPORTS /l1_addtwoints_ros_srv_test1/uut_c/opcode_user +add wave -noupdate -group CPORTS -radix hexadecimal /l1_addtwoints_ros_srv_test1/uut_c/service_info_user +add wave -noupdate -group CPORTS /l1_addtwoints_ros_srv_test1/uut_c/sequence_id +add wave -noupdate -group CPORTS /l1_addtwoints_ros_srv_test1/uut_c/taken_user +add wave -noupdate -group CPORTS -radix hexadecimal /l1_addtwoints_ros_srv_test1/uut_c/a +add wave -noupdate -group CPORTS -radix hexadecimal /l1_addtwoints_ros_srv_test1/uut_c/b +add wave -noupdate -group CPORTS -radix hexadecimal /l1_addtwoints_ros_srv_test1/uut_c/sum +add wave -noupdate -group CPORTS /l1_addtwoints_ros_srv_test1/uut_c/done_user +add wave -noupdate -group CPORTS -radix ROS_RETCODE /l1_addtwoints_ros_srv_test1/uut_c/return_code_user +add wave -noupdate /l1_addtwoints_ros_srv_test1/uut_c/stage +add wave -noupdate /l1_addtwoints_ros_srv_test1/uut_c/encode_stage +add wave -noupdate /l1_addtwoints_ros_srv_test1/uut_c/decode_stage +add wave -noupdate /l1_addtwoints_ros_srv_test1/uut_c/return_stage +add wave -noupdate /l1_addtwoints_ros_srv_test1/uut_c/cnt +add wave -noupdate /l1_addtwoints_ros_srv_test1/uut_c/decode_error_latch +add wave -noupdate -divider SERVER +add wave -noupdate -group SPORTS /l1_addtwoints_ros_srv_test1/uut_s/start_r +add wave -noupdate -group SPORTS /l1_addtwoints_ros_srv_test1/uut_s/ack_r +add wave -noupdate -group SPORTS /l1_addtwoints_ros_srv_test1/uut_s/get_data_r +add wave -noupdate -group SPORTS /l1_addtwoints_ros_srv_test1/uut_s/done_r +add wave -noupdate -group SPORTS /l1_addtwoints_ros_srv_test1/uut_s/valid_in_r +add wave -noupdate -group SPORTS /l1_addtwoints_ros_srv_test1/uut_s/ready_in_r +add wave -noupdate -group SPORTS -radix hexadecimal /l1_addtwoints_ros_srv_test1/uut_s/data_in_r +add wave -noupdate -group SPORTS /l1_addtwoints_ros_srv_test1/uut_s/last_word_in_r +add wave -noupdate -group SPORTS /l1_addtwoints_ros_srv_test1/uut_s/si_valid_data_r +add wave -noupdate -group SPORTS /l1_addtwoints_ros_srv_test1/uut_s/si_valid_r +add wave -noupdate -group SPORTS /l1_addtwoints_ros_srv_test1/uut_s/si_ack_r +add wave -noupdate -group SPORTS /l1_addtwoints_ros_srv_test1/uut_s/eoc_r +add wave -noupdate -group SPORTS /l1_addtwoints_ros_srv_test1/uut_s/start_w +add wave -noupdate -group SPORTS /l1_addtwoints_ros_srv_test1/uut_s/ack_w +add wave -noupdate -group SPORTS /l1_addtwoints_ros_srv_test1/uut_s/done_w +add wave -noupdate -group SPORTS /l1_addtwoints_ros_srv_test1/uut_s/valid_out_w +add wave -noupdate -group SPORTS /l1_addtwoints_ros_srv_test1/uut_s/ready_out_w +add wave -noupdate -group SPORTS -radix hexadecimal /l1_addtwoints_ros_srv_test1/uut_s/data_out_w +add wave -noupdate -group SPORTS /l1_addtwoints_ros_srv_test1/uut_s/last_word_out_w +add wave -noupdate -group SPORTS /l1_addtwoints_ros_srv_test1/uut_s/start_user +add wave -noupdate -group SPORTS /l1_addtwoints_ros_srv_test1/uut_s/ack_user +add wave -noupdate -group SPORTS /l1_addtwoints_ros_srv_test1/uut_s/opcode_user +add wave -noupdate -group SPORTS -radix hexadecimal /l1_addtwoints_ros_srv_test1/uut_s/service_info_user +add wave -noupdate -group SPORTS -radix hexadecimal /l1_addtwoints_ros_srv_test1/uut_s/request_id_user +add wave -noupdate -group SPORTS /l1_addtwoints_ros_srv_test1/uut_s/taken_user +add wave -noupdate -group SPORTS -radix hexadecimal /l1_addtwoints_ros_srv_test1/uut_s/a +add wave -noupdate -group SPORTS -radix hexadecimal /l1_addtwoints_ros_srv_test1/uut_s/b +add wave -noupdate -group SPORTS -radix hexadecimal /l1_addtwoints_ros_srv_test1/uut_s/sum +add wave -noupdate -group SPORTS /l1_addtwoints_ros_srv_test1/uut_s/done_user +add wave -noupdate -group SPORTS -radix ROS_RETCODE /l1_addtwoints_ros_srv_test1/uut_s/return_code_user +add wave -noupdate /l1_addtwoints_ros_srv_test1/uut_s/stage +add wave -noupdate /l1_addtwoints_ros_srv_test1/uut_s/encode_stage +add wave -noupdate /l1_addtwoints_ros_srv_test1/uut_s/decode_stage +add wave -noupdate /l1_addtwoints_ros_srv_test1/uut_s/return_stage +add wave -noupdate /l1_addtwoints_ros_srv_test1/uut_s/cnt +add wave -noupdate /l1_addtwoints_ros_srv_test1/uut_s/decode_error_latch +add wave -noupdate -divider MISC +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {325000 ps} 0} +quietly wave cursor active 1 +configure wave -namecolwidth 150 +configure wave -valuecolwidth 100 +configure wave -justifyvalue left +configure wave -signalnamewidth 1 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ns +update +WaveRestoreZoom {0 ps} {1024 ns} diff --git a/sim/L1_AddTwoInts_service_test1.do b/sim/L1_AddTwoInts_service_test1.do deleted file mode 100644 index 6f14a2d..0000000 --- a/sim/L1_AddTwoInts_service_test1.do +++ /dev/null @@ -1,105 +0,0 @@ -onerror {resume} -radix define ROS_RETCODE { - "10#0#" "ROS_RET_OK", - "10#1#" "ROS_RET_ERROR", - "10#2#" "ROS_RET_TIMEOUT", - "10#3#" "ROS_RET_UNSUPPORTED", - -default unsigned -} -quietly WaveActivateNextPane {} 0 -add wave -noupdate -divider SYSTEM -add wave -noupdate /l1_addtwoints_service_test1/clk -add wave -noupdate /l1_addtwoints_service_test1/reset -add wave -noupdate -divider CLIENT -add wave -noupdate -group CPORTS /l1_addtwoints_service_test1/uut_c/start_r -add wave -noupdate -group CPORTS /l1_addtwoints_service_test1/uut_c/ack_r -add wave -noupdate -group CPORTS /l1_addtwoints_service_test1/uut_c/get_data_r -add wave -noupdate -group CPORTS /l1_addtwoints_service_test1/uut_c/done_r -add wave -noupdate -group CPORTS /l1_addtwoints_service_test1/uut_c/valid_in_r -add wave -noupdate -group CPORTS /l1_addtwoints_service_test1/uut_c/ready_in_r -add wave -noupdate -group CPORTS -radix hexadecimal /l1_addtwoints_service_test1/uut_c/data_in_r -add wave -noupdate -group CPORTS /l1_addtwoints_service_test1/uut_c/last_word_in_r -add wave -noupdate -group CPORTS /l1_addtwoints_service_test1/uut_c/si_valid_data_r -add wave -noupdate -group CPORTS /l1_addtwoints_service_test1/uut_c/si_valid_r -add wave -noupdate -group CPORTS /l1_addtwoints_service_test1/uut_c/si_ack_r -add wave -noupdate -group CPORTS /l1_addtwoints_service_test1/uut_c/eoc_r -add wave -noupdate -group CPORTS /l1_addtwoints_service_test1/uut_c/start_w -add wave -noupdate -group CPORTS /l1_addtwoints_service_test1/uut_c/ack_w -add wave -noupdate -group CPORTS /l1_addtwoints_service_test1/uut_c/done_w -add wave -noupdate -group CPORTS /l1_addtwoints_service_test1/uut_c/valid_out_w -add wave -noupdate -group CPORTS /l1_addtwoints_service_test1/uut_c/ready_out_w -add wave -noupdate -group CPORTS -radix hexadecimal /l1_addtwoints_service_test1/uut_c/data_out_w -add wave -noupdate -group CPORTS /l1_addtwoints_service_test1/uut_c/last_word_out_w -add wave -noupdate -group CPORTS /l1_addtwoints_service_test1/uut_c/start_user -add wave -noupdate -group CPORTS /l1_addtwoints_service_test1/uut_c/ack_user -add wave -noupdate -group CPORTS /l1_addtwoints_service_test1/uut_c/opcode_user -add wave -noupdate -group CPORTS -radix hexadecimal /l1_addtwoints_service_test1/uut_c/service_info_user -add wave -noupdate -group CPORTS /l1_addtwoints_service_test1/uut_c/sequence_id -add wave -noupdate -group CPORTS /l1_addtwoints_service_test1/uut_c/taken_user -add wave -noupdate -group CPORTS -radix hexadecimal /l1_addtwoints_service_test1/uut_c/a -add wave -noupdate -group CPORTS -radix hexadecimal /l1_addtwoints_service_test1/uut_c/b -add wave -noupdate -group CPORTS -radix hexadecimal /l1_addtwoints_service_test1/uut_c/sum -add wave -noupdate -group CPORTS /l1_addtwoints_service_test1/uut_c/done_user -add wave -noupdate -group CPORTS -radix ROS_RETCODE /l1_addtwoints_service_test1/uut_c/return_code_user -add wave -noupdate /l1_addtwoints_service_test1/uut_c/stage -add wave -noupdate /l1_addtwoints_service_test1/uut_c/encode_stage -add wave -noupdate /l1_addtwoints_service_test1/uut_c/decode_stage -add wave -noupdate /l1_addtwoints_service_test1/uut_c/return_stage -add wave -noupdate /l1_addtwoints_service_test1/uut_c/cnt -add wave -noupdate /l1_addtwoints_service_test1/uut_c/decode_error_latch -add wave -noupdate -divider SERVER -add wave -noupdate -group SPORTS /l1_addtwoints_service_test1/uut_s/start_r -add wave -noupdate -group SPORTS /l1_addtwoints_service_test1/uut_s/ack_r -add wave -noupdate -group SPORTS /l1_addtwoints_service_test1/uut_s/get_data_r -add wave -noupdate -group SPORTS /l1_addtwoints_service_test1/uut_s/done_r -add wave -noupdate -group SPORTS /l1_addtwoints_service_test1/uut_s/valid_in_r -add wave -noupdate -group SPORTS /l1_addtwoints_service_test1/uut_s/ready_in_r -add wave -noupdate -group SPORTS -radix hexadecimal /l1_addtwoints_service_test1/uut_s/data_in_r -add wave -noupdate -group SPORTS /l1_addtwoints_service_test1/uut_s/last_word_in_r -add wave -noupdate -group SPORTS /l1_addtwoints_service_test1/uut_s/si_valid_data_r -add wave -noupdate -group SPORTS /l1_addtwoints_service_test1/uut_s/si_valid_r -add wave -noupdate -group SPORTS /l1_addtwoints_service_test1/uut_s/si_ack_r -add wave -noupdate -group SPORTS /l1_addtwoints_service_test1/uut_s/eoc_r -add wave -noupdate -group SPORTS /l1_addtwoints_service_test1/uut_s/start_w -add wave -noupdate -group SPORTS /l1_addtwoints_service_test1/uut_s/ack_w -add wave -noupdate -group SPORTS /l1_addtwoints_service_test1/uut_s/done_w -add wave -noupdate -group SPORTS /l1_addtwoints_service_test1/uut_s/valid_out_w -add wave -noupdate -group SPORTS /l1_addtwoints_service_test1/uut_s/ready_out_w -add wave -noupdate -group SPORTS -radix hexadecimal /l1_addtwoints_service_test1/uut_s/data_out_w -add wave -noupdate -group SPORTS /l1_addtwoints_service_test1/uut_s/last_word_out_w -add wave -noupdate -group SPORTS /l1_addtwoints_service_test1/uut_s/start_user -add wave -noupdate -group SPORTS /l1_addtwoints_service_test1/uut_s/ack_user -add wave -noupdate -group SPORTS /l1_addtwoints_service_test1/uut_s/opcode_user -add wave -noupdate -group SPORTS -radix hexadecimal /l1_addtwoints_service_test1/uut_s/service_info_user -add wave -noupdate -group SPORTS -radix hexadecimal /l1_addtwoints_service_test1/uut_s/request_id_user -add wave -noupdate -group SPORTS /l1_addtwoints_service_test1/uut_s/taken_user -add wave -noupdate -group SPORTS -radix hexadecimal /l1_addtwoints_service_test1/uut_s/a -add wave -noupdate -group SPORTS -radix hexadecimal /l1_addtwoints_service_test1/uut_s/b -add wave -noupdate -group SPORTS -radix hexadecimal /l1_addtwoints_service_test1/uut_s/sum -add wave -noupdate -group SPORTS /l1_addtwoints_service_test1/uut_s/done_user -add wave -noupdate -group SPORTS -radix ROS_RETCODE /l1_addtwoints_service_test1/uut_s/return_code_user -add wave -noupdate /l1_addtwoints_service_test1/uut_s/stage -add wave -noupdate /l1_addtwoints_service_test1/uut_s/encode_stage -add wave -noupdate /l1_addtwoints_service_test1/uut_s/decode_stage -add wave -noupdate /l1_addtwoints_service_test1/uut_s/return_stage -add wave -noupdate /l1_addtwoints_service_test1/uut_s/cnt -add wave -noupdate /l1_addtwoints_service_test1/uut_s/decode_error_latch -add wave -noupdate -divider MISC -TreeUpdate [SetDefaultTree] -WaveRestoreCursors {{Cursor 1} {325000 ps} 0} -quietly wave cursor active 1 -configure wave -namecolwidth 150 -configure wave -valuecolwidth 100 -configure wave -justifyvalue left -configure wave -signalnamewidth 1 -configure wave -snapdistance 10 -configure wave -datasetprefix 0 -configure wave -rowmargin 4 -configure wave -childrowmargin 2 -configure wave -gridoffset 0 -configure wave -gridperiod 1 -configure wave -griddelta 40 -configure wave -timeline 0 -configure wave -timelineunits ns -update -WaveRestoreZoom {0 ps} {1024 ns} diff --git a/sim/L1_Fibonacci_action_feedback_test1.do b/sim/L1_Fibonacci_action_feedback_test1.do deleted file mode 100644 index 5eab71e..0000000 --- a/sim/L1_Fibonacci_action_feedback_test1.do +++ /dev/null @@ -1,58 +0,0 @@ -onerror {resume} -quietly WaveActivateNextPane {} 0 -add wave -noupdate -divider SYSTEM -add wave -noupdate /l1_fibonacci_action_feedback_test1/clk -add wave -noupdate /l1_fibonacci_action_feedback_test1/reset -add wave -noupdate -divider PUBLISHER -add wave -noupdate /l1_fibonacci_action_feedback_test1/uut_pub/start_dds -add wave -noupdate /l1_fibonacci_action_feedback_test1/uut_pub/ack_dds -add wave -noupdate /l1_fibonacci_action_feedback_test1/uut_pub/opcode_dds -add wave -noupdate /l1_fibonacci_action_feedback_test1/uut_pub/done_dds -add wave -noupdate -radix unsigned /l1_fibonacci_action_feedback_test1/uut_pub/return_code_dds -add wave -noupdate /l1_fibonacci_action_feedback_test1/uut_pub/start_user -add wave -noupdate /l1_fibonacci_action_feedback_test1/uut_pub/opcode_user -add wave -noupdate /l1_fibonacci_action_feedback_test1/uut_pub/ack_user -add wave -noupdate /l1_fibonacci_action_feedback_test1/uut_pub/done_user -add wave -noupdate -radix unsigned /l1_fibonacci_action_feedback_test1/uut_pub/return_code_user -add wave -noupdate /l1_fibonacci_action_feedback_test1/uut_pub/stage -add wave -noupdate /l1_fibonacci_action_feedback_test1/uut_pub/encode_stage -add wave -noupdate /l1_fibonacci_action_feedback_test1/uut_pub/cnt -add wave -noupdate /l1_fibonacci_action_feedback_test1/uut_pub/goal_id_cnt -add wave -noupdate /l1_fibonacci_action_feedback_test1/uut_pub/seq_cnt -add wave -noupdate -divider SUBSCRIBER -add wave -noupdate /l1_fibonacci_action_feedback_test1/uut_sub/start_dds -add wave -noupdate /l1_fibonacci_action_feedback_test1/uut_sub/ack_dds -add wave -noupdate /l1_fibonacci_action_feedback_test1/uut_sub/opcode_dds -add wave -noupdate /l1_fibonacci_action_feedback_test1/uut_sub/get_data_dds -add wave -noupdate /l1_fibonacci_action_feedback_test1/uut_sub/done_dds -add wave -noupdate -radix unsigned /l1_fibonacci_action_feedback_test1/uut_sub/return_code_dds -add wave -noupdate /l1_fibonacci_action_feedback_test1/uut_sub/start_user -add wave -noupdate /l1_fibonacci_action_feedback_test1/uut_sub/opcode_user -add wave -noupdate /l1_fibonacci_action_feedback_test1/uut_sub/ack_user -add wave -noupdate /l1_fibonacci_action_feedback_test1/uut_sub/done_user -add wave -noupdate -radix unsigned /l1_fibonacci_action_feedback_test1/uut_sub/return_code_user -add wave -noupdate /l1_fibonacci_action_feedback_test1/uut_sub/stage -add wave -noupdate /l1_fibonacci_action_feedback_test1/uut_sub/decode_stage -add wave -noupdate /l1_fibonacci_action_feedback_test1/uut_sub/return_stage -add wave -noupdate /l1_fibonacci_action_feedback_test1/uut_sub/cnt -add wave -noupdate /l1_fibonacci_action_feedback_test1/uut_sub/goal_id_cnt -add wave -noupdate /l1_fibonacci_action_feedback_test1/uut_sub/seq_cnt -add wave -noupdate -divider MISC -TreeUpdate [SetDefaultTree] -WaveRestoreCursors {{Cursor 1} {8403681 ps} 0} -quietly wave cursor active 1 -configure wave -namecolwidth 150 -configure wave -valuecolwidth 100 -configure wave -justifyvalue left -configure wave -signalnamewidth 1 -configure wave -snapdistance 10 -configure wave -datasetprefix 0 -configure wave -rowmargin 4 -configure wave -childrowmargin 2 -configure wave -gridoffset 0 -configure wave -gridperiod 1 -configure wave -griddelta 40 -configure wave -timeline 0 -configure wave -timelineunits ns -update -WaveRestoreZoom {7833600 ps} {8857600 ps} diff --git a/sim/L1_Fibonacci_ros_action_feedback_test1.do b/sim/L1_Fibonacci_ros_action_feedback_test1.do new file mode 100644 index 0000000..69c0de2 --- /dev/null +++ b/sim/L1_Fibonacci_ros_action_feedback_test1.do @@ -0,0 +1,58 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate -divider SYSTEM +add wave -noupdate /l1_fibonacci_ros_action_feedback_test1/clk +add wave -noupdate /l1_fibonacci_ros_action_feedback_test1/reset +add wave -noupdate -divider PUBLISHER +add wave -noupdate /l1_fibonacci_ros_action_feedback_test1/uut_pub/start_dds +add wave -noupdate /l1_fibonacci_ros_action_feedback_test1/uut_pub/ack_dds +add wave -noupdate /l1_fibonacci_ros_action_feedback_test1/uut_pub/opcode_dds +add wave -noupdate /l1_fibonacci_ros_action_feedback_test1/uut_pub/done_dds +add wave -noupdate -radix unsigned /l1_fibonacci_ros_action_feedback_test1/uut_pub/return_code_dds +add wave -noupdate /l1_fibonacci_ros_action_feedback_test1/uut_pub/start_user +add wave -noupdate /l1_fibonacci_ros_action_feedback_test1/uut_pub/opcode_user +add wave -noupdate /l1_fibonacci_ros_action_feedback_test1/uut_pub/ack_user +add wave -noupdate /l1_fibonacci_ros_action_feedback_test1/uut_pub/done_user +add wave -noupdate -radix unsigned /l1_fibonacci_ros_action_feedback_test1/uut_pub/return_code_user +add wave -noupdate /l1_fibonacci_ros_action_feedback_test1/uut_pub/stage +add wave -noupdate /l1_fibonacci_ros_action_feedback_test1/uut_pub/encode_stage +add wave -noupdate /l1_fibonacci_ros_action_feedback_test1/uut_pub/cnt +add wave -noupdate /l1_fibonacci_ros_action_feedback_test1/uut_pub/goal_id_cnt +add wave -noupdate /l1_fibonacci_ros_action_feedback_test1/uut_pub/seq_cnt +add wave -noupdate -divider SUBSCRIBER +add wave -noupdate /l1_fibonacci_ros_action_feedback_test1/uut_sub/start_dds +add wave -noupdate /l1_fibonacci_ros_action_feedback_test1/uut_sub/ack_dds +add wave -noupdate /l1_fibonacci_ros_action_feedback_test1/uut_sub/opcode_dds +add wave -noupdate /l1_fibonacci_ros_action_feedback_test1/uut_sub/get_data_dds +add wave -noupdate /l1_fibonacci_ros_action_feedback_test1/uut_sub/done_dds +add wave -noupdate -radix unsigned /l1_fibonacci_ros_action_feedback_test1/uut_sub/return_code_dds +add wave -noupdate /l1_fibonacci_ros_action_feedback_test1/uut_sub/start_user +add wave -noupdate /l1_fibonacci_ros_action_feedback_test1/uut_sub/opcode_user +add wave -noupdate /l1_fibonacci_ros_action_feedback_test1/uut_sub/ack_user +add wave -noupdate /l1_fibonacci_ros_action_feedback_test1/uut_sub/done_user +add wave -noupdate -radix unsigned /l1_fibonacci_ros_action_feedback_test1/uut_sub/return_code_user +add wave -noupdate /l1_fibonacci_ros_action_feedback_test1/uut_sub/stage +add wave -noupdate /l1_fibonacci_ros_action_feedback_test1/uut_sub/decode_stage +add wave -noupdate /l1_fibonacci_ros_action_feedback_test1/uut_sub/return_stage +add wave -noupdate /l1_fibonacci_ros_action_feedback_test1/uut_sub/cnt +add wave -noupdate /l1_fibonacci_ros_action_feedback_test1/uut_sub/goal_id_cnt +add wave -noupdate /l1_fibonacci_ros_action_feedback_test1/uut_sub/seq_cnt +add wave -noupdate -divider MISC +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {8403681 ps} 0} +quietly wave cursor active 1 +configure wave -namecolwidth 150 +configure wave -valuecolwidth 100 +configure wave -justifyvalue left +configure wave -signalnamewidth 1 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ns +update +WaveRestoreZoom {7833600 ps} {8857600 ps} diff --git a/sim/L1_GoalStatusArray_ros_test1.do b/sim/L1_GoalStatusArray_ros_test1.do new file mode 100644 index 0000000..2ba5cc7 --- /dev/null +++ b/sim/L1_GoalStatusArray_ros_test1.do @@ -0,0 +1,58 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate -divider SYSTEM +add wave -noupdate /l1_goalstatusarray_ros_test1/clk +add wave -noupdate /l1_goalstatusarray_ros_test1/reset +add wave -noupdate -divider PUBLISHER +add wave -noupdate /l1_goalstatusarray_ros_test1/uut_pub/start_dds +add wave -noupdate /l1_goalstatusarray_ros_test1/uut_pub/ack_dds +add wave -noupdate /l1_goalstatusarray_ros_test1/uut_pub/opcode_dds +add wave -noupdate /l1_goalstatusarray_ros_test1/uut_pub/done_dds +add wave -noupdate -radix unsigned /l1_goalstatusarray_ros_test1/uut_pub/return_code_dds +add wave -noupdate /l1_goalstatusarray_ros_test1/uut_pub/start_user +add wave -noupdate /l1_goalstatusarray_ros_test1/uut_pub/opcode_user +add wave -noupdate /l1_goalstatusarray_ros_test1/uut_pub/ack_user +add wave -noupdate /l1_goalstatusarray_ros_test1/uut_pub/done_user +add wave -noupdate -radix unsigned /l1_goalstatusarray_ros_test1/uut_pub/return_code_user +add wave -noupdate /l1_goalstatusarray_ros_test1/uut_pub/stage +add wave -noupdate /l1_goalstatusarray_ros_test1/uut_pub/encode_stage +add wave -noupdate /l1_goalstatusarray_ros_test1/uut_pub/cnt +add wave -noupdate /l1_goalstatusarray_ros_test1/uut_pub/uuid_cnt +add wave -noupdate /l1_goalstatusarray_ros_test1/uut_pub/status_list_cnt +add wave -noupdate -divider SUBSCRIBER +add wave -noupdate /l1_goalstatusarray_ros_test1/uut_sub/start_dds +add wave -noupdate /l1_goalstatusarray_ros_test1/uut_sub/ack_dds +add wave -noupdate /l1_goalstatusarray_ros_test1/uut_sub/opcode_dds +add wave -noupdate /l1_goalstatusarray_ros_test1/uut_sub/get_data_dds +add wave -noupdate /l1_goalstatusarray_ros_test1/uut_sub/done_dds +add wave -noupdate -radix unsigned /l1_goalstatusarray_ros_test1/uut_sub/return_code_dds +add wave -noupdate /l1_goalstatusarray_ros_test1/uut_sub/start_user +add wave -noupdate /l1_goalstatusarray_ros_test1/uut_sub/opcode_user +add wave -noupdate /l1_goalstatusarray_ros_test1/uut_sub/ack_user +add wave -noupdate /l1_goalstatusarray_ros_test1/uut_sub/done_user +add wave -noupdate -radix unsigned /l1_goalstatusarray_ros_test1/uut_sub/return_code_user +add wave -noupdate /l1_goalstatusarray_ros_test1/uut_sub/stage +add wave -noupdate /l1_goalstatusarray_ros_test1/uut_sub/decode_stage +add wave -noupdate /l1_goalstatusarray_ros_test1/uut_sub/return_stage +add wave -noupdate /l1_goalstatusarray_ros_test1/uut_sub/cnt +add wave -noupdate /l1_goalstatusarray_ros_test1/uut_sub/uuid_cnt +add wave -noupdate /l1_goalstatusarray_ros_test1/uut_sub/status_list_cnt +add wave -noupdate -divider MISC +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {3286459 ps} 0} +quietly wave cursor active 1 +configure wave -namecolwidth 150 +configure wave -valuecolwidth 100 +configure wave -justifyvalue left +configure wave -signalnamewidth 1 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ns +update +WaveRestoreZoom {1843200 ps} {2867200 ps} diff --git a/sim/L1_GoalStatusArray_test1.do b/sim/L1_GoalStatusArray_test1.do deleted file mode 100644 index 65a3857..0000000 --- a/sim/L1_GoalStatusArray_test1.do +++ /dev/null @@ -1,58 +0,0 @@ -onerror {resume} -quietly WaveActivateNextPane {} 0 -add wave -noupdate -divider SYSTEM -add wave -noupdate /l1_goalstatusarray_test1/clk -add wave -noupdate /l1_goalstatusarray_test1/reset -add wave -noupdate -divider PUBLISHER -add wave -noupdate /l1_goalstatusarray_test1/uut_pub/start_dds -add wave -noupdate /l1_goalstatusarray_test1/uut_pub/ack_dds -add wave -noupdate /l1_goalstatusarray_test1/uut_pub/opcode_dds -add wave -noupdate /l1_goalstatusarray_test1/uut_pub/done_dds -add wave -noupdate -radix unsigned /l1_goalstatusarray_test1/uut_pub/return_code_dds -add wave -noupdate /l1_goalstatusarray_test1/uut_pub/start_user -add wave -noupdate /l1_goalstatusarray_test1/uut_pub/opcode_user -add wave -noupdate /l1_goalstatusarray_test1/uut_pub/ack_user -add wave -noupdate /l1_goalstatusarray_test1/uut_pub/done_user -add wave -noupdate -radix unsigned /l1_goalstatusarray_test1/uut_pub/return_code_user -add wave -noupdate /l1_goalstatusarray_test1/uut_pub/stage -add wave -noupdate /l1_goalstatusarray_test1/uut_pub/encode_stage -add wave -noupdate /l1_goalstatusarray_test1/uut_pub/cnt -add wave -noupdate /l1_goalstatusarray_test1/uut_pub/uuid_cnt -add wave -noupdate /l1_goalstatusarray_test1/uut_pub/status_list_cnt -add wave -noupdate -divider SUBSCRIBER -add wave -noupdate /l1_goalstatusarray_test1/uut_sub/start_dds -add wave -noupdate /l1_goalstatusarray_test1/uut_sub/ack_dds -add wave -noupdate /l1_goalstatusarray_test1/uut_sub/opcode_dds -add wave -noupdate /l1_goalstatusarray_test1/uut_sub/get_data_dds -add wave -noupdate /l1_goalstatusarray_test1/uut_sub/done_dds -add wave -noupdate -radix unsigned /l1_goalstatusarray_test1/uut_sub/return_code_dds -add wave -noupdate /l1_goalstatusarray_test1/uut_sub/start_user -add wave -noupdate /l1_goalstatusarray_test1/uut_sub/opcode_user -add wave -noupdate /l1_goalstatusarray_test1/uut_sub/ack_user -add wave -noupdate /l1_goalstatusarray_test1/uut_sub/done_user -add wave -noupdate -radix unsigned /l1_goalstatusarray_test1/uut_sub/return_code_user -add wave -noupdate /l1_goalstatusarray_test1/uut_sub/stage -add wave -noupdate /l1_goalstatusarray_test1/uut_sub/decode_stage -add wave -noupdate /l1_goalstatusarray_test1/uut_sub/return_stage -add wave -noupdate /l1_goalstatusarray_test1/uut_sub/cnt -add wave -noupdate /l1_goalstatusarray_test1/uut_sub/uuid_cnt -add wave -noupdate /l1_goalstatusarray_test1/uut_sub/status_list_cnt -add wave -noupdate -divider MISC -TreeUpdate [SetDefaultTree] -WaveRestoreCursors {{Cursor 1} {3286459 ps} 0} -quietly wave cursor active 1 -configure wave -namecolwidth 150 -configure wave -valuecolwidth 100 -configure wave -justifyvalue left -configure wave -signalnamewidth 1 -configure wave -snapdistance 10 -configure wave -datasetprefix 0 -configure wave -rowmargin 4 -configure wave -childrowmargin 2 -configure wave -gridoffset 0 -configure wave -gridperiod 1 -configure wave -griddelta 40 -configure wave -timeline 0 -configure wave -timelineunits ns -update -WaveRestoreZoom {1843200 ps} {2867200 ps} diff --git a/src/ros2/TEMPLATE_pub.vhd b/src/ros2/TEMPLATE_ros_pub.vhd similarity index 100% rename from src/ros2/TEMPLATE_pub.vhd rename to src/ros2/TEMPLATE_ros_pub.vhd diff --git a/src/ros2/TEMPLATE_srv_client.vhd b/src/ros2/TEMPLATE_ros_srv_client.vhd similarity index 100% rename from src/ros2/TEMPLATE_srv_client.vhd rename to src/ros2/TEMPLATE_ros_srv_client.vhd diff --git a/src/ros2/TEMPLATE_srv_server.vhd b/src/ros2/TEMPLATE_ros_srv_server.vhd similarity index 100% rename from src/ros2/TEMPLATE_srv_server.vhd rename to src/ros2/TEMPLATE_ros_srv_server.vhd diff --git a/src/ros2/TEMPLATE_sub.vhd b/src/ros2/TEMPLATE_ros_sub.vhd similarity index 100% rename from src/ros2/TEMPLATE_sub.vhd rename to src/ros2/TEMPLATE_ros_sub.vhd diff --git a/src/ros2/Tests/Level_1/L1_AddTwoInts_srv_test1.vhd b/src/ros2/Tests/Level_1/L1_AddTwoInts_ros_srv_test1.vhd similarity index 98% rename from src/ros2/Tests/Level_1/L1_AddTwoInts_srv_test1.vhd rename to src/ros2/Tests/Level_1/L1_AddTwoInts_ros_srv_test1.vhd index 61e929a..8adf9df 100644 --- a/src/ros2/Tests/Level_1/L1_AddTwoInts_srv_test1.vhd +++ b/src/ros2/Tests/Level_1/L1_AddTwoInts_ros_srv_test1.vhd @@ -18,10 +18,10 @@ use work.rtps_test_package.all; -- * Test Sample with No Valid response from DDS Reader -- * Test Big Endian Encoding/Decoding of AddTwoInts Service Messages -entity L1_AddTwoInts_srv_test1 is +entity L1_AddTwoInts_ros_srv_test1 is end entity; -architecture testbench of L1_AddTwoInts_srv_test1 is +architecture testbench of L1_AddTwoInts_ros_srv_test1 is signal clk, reset : std_logic := '0'; signal valid_rq, valid_rr, ready_rq, ready_rr, last_word_rq, last_word_rr : std_logic := '0'; @@ -38,7 +38,7 @@ architecture testbench of L1_AddTwoInts_srv_test1 is signal ready_sw, ready_cw, last_word_out_cw, last_word_out_sw, selector : std_logic := '0'; begin - uut_c : entity work.AddTwoInts_srv_client(arch) + uut_c : entity work.AddTwoInts_ros_srv_client(arch) port map ( clk => clk, reset => reset, @@ -105,7 +105,7 @@ begin return_code_user => return_code_c -- ); - uut_s : entity work.AddTwoInts_srv_server(arch) + uut_s : entity work.AddTwoInts_ros_srv_server(arch) port map ( clk => clk, reset => reset, diff --git a/src/ros2/Tests/Level_1/L1_AddTwoInts_srv_test2.vhd b/src/ros2/Tests/Level_1/L1_AddTwoInts_ros_srv_test2.vhd similarity index 98% rename from src/ros2/Tests/Level_1/L1_AddTwoInts_srv_test2.vhd rename to src/ros2/Tests/Level_1/L1_AddTwoInts_ros_srv_test2.vhd index e4c2244..6774d54 100644 --- a/src/ros2/Tests/Level_1/L1_AddTwoInts_srv_test2.vhd +++ b/src/ros2/Tests/Level_1/L1_AddTwoInts_ros_srv_test2.vhd @@ -18,10 +18,10 @@ use work.rtps_test_package.all; -- * Test Sample with No Valid response from DDS Reader -- * Test Little Endian Encoding/Decoding of AddTwoInts Service Messages -entity L1_AddTwoInts_srv_test2 is +entity L1_AddTwoInts_ros_srv_test2 is end entity; -architecture testbench of L1_AddTwoInts_srv_test2 is +architecture testbench of L1_AddTwoInts_ros_srv_test2 is signal clk, reset : std_logic := '0'; signal valid_rq, valid_rr, ready_rq, ready_rr, last_word_rq, last_word_rr : std_logic := '0'; @@ -38,7 +38,7 @@ architecture testbench of L1_AddTwoInts_srv_test2 is signal ready_sw, ready_cw, last_word_out_cw, last_word_out_sw, selector : std_logic := '0'; begin - uut_c : entity work.AddTwoInts_srv_client(arch) + uut_c : entity work.AddTwoInts_ros_srv_client(arch) generic map ( LITTLE_ENDIAN => '1' ) @@ -108,7 +108,7 @@ begin return_code_user => return_code_c -- ); - uut_s : entity work.AddTwoInts_srv_server(arch) + uut_s : entity work.AddTwoInts_ros_srv_server(arch) generic map ( LITTLE_ENDIAN => '1' ) diff --git a/src/ros2/Tests/Level_1/L1_Fibonacci_action_feedback_test1.vhd b/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_feedback_test1.vhd similarity index 98% rename from src/ros2/Tests/Level_1/L1_Fibonacci_action_feedback_test1.vhd rename to src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_feedback_test1.vhd index 34ba5e5..edb8060 100644 --- a/src/ros2/Tests/Level_1/L1_Fibonacci_action_feedback_test1.vhd +++ b/src/ros2/Tests/Level_1/L1_Fibonacci_ros_action_feedback_test1.vhd @@ -19,10 +19,10 @@ use work.Fibonacci_package.all; -- * Test Sample with No Valid response from DDS Reader -- * Test Big Endian Encoding/Decoding of Messages -entity L1_Fibonacci_action_feedback_test1 is +entity L1_Fibonacci_ros_action_feedback_test1 is end entity; -architecture testbench of L1_Fibonacci_action_feedback_test1 is +architecture testbench of L1_Fibonacci_ros_action_feedback_test1 is signal clk, reset : std_logic := '0'; signal valid, ready, last_word, last_word_out_w : std_logic := '0'; @@ -41,7 +41,7 @@ architecture testbench of L1_Fibonacci_action_feedback_test1 is signal seq_sub, seq_r_pub, seq_w_pub : std_logic_vector(CDR_LONG_WIDTH-1 downto 0) := (others => '0'); begin - uut_sub : entity work.Fibonacci_action_feedback_sub(arch) + uut_sub : entity work.Fibonacci_ros_action_feedback_sub(arch) port map ( clk => clk, reset => reset, @@ -94,7 +94,7 @@ begin taken_user => taken_sub ); - uut_pub : entity work.Fibonacci_action_feedback_pub(arch) + uut_pub : entity work.Fibonacci_ros_action_feedback_pub(arch) port map ( clk => clk, reset => reset, diff --git a/src/ros2/Tests/Level_1/L1_GoalStatusArray_test1.vhd b/src/ros2/Tests/Level_1/L1_GoalStatusArray_ros_test1.vhd similarity index 98% rename from src/ros2/Tests/Level_1/L1_GoalStatusArray_test1.vhd rename to src/ros2/Tests/Level_1/L1_GoalStatusArray_ros_test1.vhd index 7906dac..4fc38fc 100644 --- a/src/ros2/Tests/Level_1/L1_GoalStatusArray_test1.vhd +++ b/src/ros2/Tests/Level_1/L1_GoalStatusArray_ros_test1.vhd @@ -19,10 +19,10 @@ use work.GoalStatusArray_package.all; -- * Test Sample with No Valid response from DDS Reader -- * Test Big Endian Encoding/Decoding of Messages -entity L1_GoalStatusArray_test1 is +entity L1_GoalStatusArray_ros_test1 is end entity; -architecture testbench of L1_GoalStatusArray_test1 is +architecture testbench of L1_GoalStatusArray_ros_test1 is signal clk, reset : std_logic := '0'; signal valid, ready, last_word, last_word_out_w : std_logic := '0'; @@ -42,7 +42,7 @@ architecture testbench of L1_GoalStatusArray_test1 is signal status_list_status_r_pub, status_list_status_w_pub, status_list_status_sub : std_logic_vector(CDR_INT8_WIDTH-1 downto 0) := (others => '0'); begin - uut_sub : entity work.GoalStatusArray_sub(arch) + uut_sub : entity work.GoalStatusArray_ros_sub(arch) port map ( clk => clk, reset => reset, @@ -96,7 +96,7 @@ begin taken_user => taken_sub ); - uut_pub : entity work.GoalStatusArray_pub(arch) + uut_pub : entity work.GoalStatusArray_ros_pub(arch) port map ( clk => clk, reset => reset, diff --git a/src/ros2/Tests/Level_2/L2_AddTwoInts_test1.vhd b/src/ros2/Tests/Level_2/L2_AddTwoInts_ros_srv_test1.vhd similarity index 98% rename from src/ros2/Tests/Level_2/L2_AddTwoInts_test1.vhd rename to src/ros2/Tests/Level_2/L2_AddTwoInts_ros_srv_test1.vhd index 974db13..0e11210 100644 --- a/src/ros2/Tests/Level_2/L2_AddTwoInts_test1.vhd +++ b/src/ros2/Tests/Level_2/L2_AddTwoInts_ros_srv_test1.vhd @@ -19,10 +19,10 @@ use work.rtps_test_package.all; -- summation. -entity L2_AddTwoInts_test1 is +entity L2_AddTwoInts_ros_srv_test1 is end entity; -architecture testbench of L2_AddTwoInts_test1 is +architecture testbench of L2_AddTwoInts_ros_srv_test1 is signal clk, reset : std_logic := '0'; signal time : TIME_TYPE := TIME_ZERO; diff --git a/src/ros2/Tests/Level_2/L2_Testbench_ROS_Lib2.vhd b/src/ros2/Tests/Level_2/L2_Testbench_ROS_Lib2.vhd index 3808361..d1c13a5 100644 --- a/src/ros2/Tests/Level_2/L2_Testbench_ROS_Lib2.vhd +++ b/src/ros2/Tests/Level_2/L2_Testbench_ROS_Lib2.vhd @@ -632,7 +632,7 @@ begin -- ######GENERATED START###### - AddTwoInts_srv_server_inst : entity work.AddTwoInts_srv_server(arch) + AddTwoInts_ros_srv_server_inst : entity work.AddTwoInts_ros_srv_server(arch) port map ( clk => clk, reset => reset, diff --git a/src/ros2/Tests/Level_2/L2_Testbench_ROS_Lib3.vhd b/src/ros2/Tests/Level_2/L2_Testbench_ROS_Lib3.vhd index 7d47a97..d02de7e 100644 --- a/src/ros2/Tests/Level_2/L2_Testbench_ROS_Lib3.vhd +++ b/src/ros2/Tests/Level_2/L2_Testbench_ROS_Lib3.vhd @@ -642,7 +642,7 @@ begin -- ######GENERATED START###### - AddTwoInts_srv_client_inst : entity work.AddTwoInts_srv_client(arch) + AddTwoInts_ros_srv_client_inst : entity work.AddTwoInts_ros_srv_client(arch) port map ( clk => clk, reset => reset, diff --git a/src/ros2/Tests/ros_testbench.pro b/src/ros2/Tests/ros_testbench.pro index 9276a01..4f192ad 100644 --- a/src/ros2/Tests/ros_testbench.pro +++ b/src/ros2/Tests/ros_testbench.pro @@ -34,8 +34,8 @@ analyze ../../key_hash_generator.vhd analyze ../../Tests/test_key_holder.vhd analyze ../../Tests/test_key_hash_generator.vhd analyze ../ros_static_discovery_writer.vhd -analyze ../example_interfaces/AddTwoInts_srv_client.vhd -analyze ../example_interfaces/AddTwoInts_srv_server.vhd +analyze ../example_interfaces/AddTwoInts_ros_srv_client.vhd +analyze ../example_interfaces/AddTwoInts_ros_srv_server.vhd analyze AddTwoInts.vhd analyze Level_2/L2_Testbench_ROS_Lib2.vhd @@ -72,8 +72,8 @@ analyze ../../key_hash_generator.vhd analyze ../../Tests/test_key_holder.vhd analyze ../../Tests/test_key_hash_generator.vhd analyze ../ros_static_discovery_writer.vhd -analyze ../example_interfaces/AddTwoInts_srv_client.vhd -analyze ../example_interfaces/AddTwoInts_srv_server.vhd +analyze ../example_interfaces/AddTwoInts_ros_srv_client.vhd +analyze ../example_interfaces/AddTwoInts_ros_srv_server.vhd analyze Level_2/L2_Testbench_ROS_Lib3.vhd library Testbench_ROS_Lib1 @@ -88,25 +88,25 @@ analyze ../../Tests/single_port_ram_cfg.vhd analyze ../../FWFT_FIFO.vhd analyze ../../Tests/FWFT_FIFO_cfg.vhd analyze ../../mem_ctrl.vhd -analyze ../example_interfaces/AddTwoInts_srv_client.vhd -analyze ../example_interfaces/AddTwoInts_srv_server.vhd -analyze Level_1/L1_AddTwoInts_srv_test1.vhd -analyze Level_1/L1_AddTwoInts_srv_test2.vhd -analyze Level_2/L2_AddTwoInts_test1.vhd +analyze ../example_interfaces/AddTwoInts_ros_srv_client.vhd +analyze ../example_interfaces/AddTwoInts_ros_srv_server.vhd +analyze Level_1/L1_AddTwoInts_ros_srv_test1.vhd +analyze Level_1/L1_AddTwoInts_ros_srv_test2.vhd +analyze Level_2/L2_AddTwoInts_ros_srv_test1.vhd analyze ../example_interfaces/Fibonacci_package.vhd -analyze ../example_interfaces/Fibonacci_action_feedback_pub.vhd -analyze ../example_interfaces/Fibonacci_action_feedback_sub.vhd -analyze Level_1/L1_Fibonacci_action_feedback_test1.vhd +analyze ../example_interfaces/Fibonacci_ros_action_feedback_pub.vhd +analyze ../example_interfaces/Fibonacci_ros_action_feedback_sub.vhd +analyze Level_1/L1_Fibonacci_ros_action_feedback_test1.vhd analyze ../rcl_interfaces/action_msgs/GoalInfo_package.vhd analyze ../rcl_interfaces/action_msgs/GoalStatus_package.vhd analyze ../rcl_interfaces/action_msgs/GoalStatusArray_package.vhd -analyze ../rcl_interfaces/action_msgs/GoalStatusArray_pub.vhd -analyze ../rcl_interfaces/action_msgs/GoalStatusArray_sub.vhd -analyze Level_1/L1_GoalStatusArray_test1.vhd +analyze ../rcl_interfaces/action_msgs/GoalStatusArray_ros_pub.vhd +analyze ../rcl_interfaces/action_msgs/GoalStatusArray_ros_sub.vhd +analyze Level_1/L1_GoalStatusArray_ros_test1.vhd -simulate L1_AddTwoInts_srv_test1 -simulate L1_AddTwoInts_srv_test2 -simulate L2_AddTwoInts_test1 -simulate L1_Fibonacci_action_feedback_test1 -simulate L1_GoalStatusArray_test1 \ No newline at end of file +simulate L1_AddTwoInts_ros_srv_test1 +simulate L1_AddTwoInts_ros_srv_test2 +simulate L2_AddTwoInts_ros_srv_test1 +simulate L1_Fibonacci_ros_action_feedback_test1 +simulate L1_GoalStatusArray_ros_test1 \ No newline at end of file diff --git a/src/ros2/example_interfaces/AddTwoInts_srv_client.vhd b/src/ros2/example_interfaces/AddTwoInts_ros_srv_client.vhd similarity index 99% rename from src/ros2/example_interfaces/AddTwoInts_srv_client.vhd rename to src/ros2/example_interfaces/AddTwoInts_ros_srv_client.vhd index 9ed0e1c..9413ee5 100644 --- a/src/ros2/example_interfaces/AddTwoInts_srv_client.vhd +++ b/src/ros2/example_interfaces/AddTwoInts_ros_srv_client.vhd @@ -9,7 +9,7 @@ use work.rtps_package.all; use work.rtps_config_package.all; use work.ros_package.all; -entity AddTwoInts_srv_client is +entity AddTwoInts_ros_srv_client is generic ( LITTLE_ENDIAN : std_logic := '0' ); @@ -88,7 +88,7 @@ entity AddTwoInts_srv_client is ); end entity; -architecture arch of AddTwoInts_srv_client is +architecture arch of AddTwoInts_ros_srv_client is --*****TYPE DECLARATION***** -- FSM states. Explained below in detail diff --git a/src/ros2/example_interfaces/AddTwoInts_srv_server.vhd b/src/ros2/example_interfaces/AddTwoInts_ros_srv_server.vhd similarity index 99% rename from src/ros2/example_interfaces/AddTwoInts_srv_server.vhd rename to src/ros2/example_interfaces/AddTwoInts_ros_srv_server.vhd index 931b345..d5d2227 100644 --- a/src/ros2/example_interfaces/AddTwoInts_srv_server.vhd +++ b/src/ros2/example_interfaces/AddTwoInts_ros_srv_server.vhd @@ -9,7 +9,7 @@ use work.rtps_package.all; use work.rtps_config_package.all; use work.ros_package.all; -entity AddTwoInts_srv_server is +entity AddTwoInts_ros_srv_server is generic ( LITTLE_ENDIAN : std_logic := '0' ); @@ -88,7 +88,7 @@ entity AddTwoInts_srv_server is ); end entity; -architecture arch of AddTwoInts_srv_server is +architecture arch of AddTwoInts_ros_srv_server is --*****TYPE DECLARATION***** -- FSM states. Explained below in detail diff --git a/src/ros2/example_interfaces/Fibonacci_action_feedback_pub.vhd b/src/ros2/example_interfaces/Fibonacci_ros_action_feedback_pub.vhd similarity index 99% rename from src/ros2/example_interfaces/Fibonacci_action_feedback_pub.vhd rename to src/ros2/example_interfaces/Fibonacci_ros_action_feedback_pub.vhd index a3146b6..f0de78a 100644 --- a/src/ros2/example_interfaces/Fibonacci_action_feedback_pub.vhd +++ b/src/ros2/example_interfaces/Fibonacci_ros_action_feedback_pub.vhd @@ -10,7 +10,7 @@ use work.rtps_config_package.all; use work.ros_package.all; use work.Fibonacci_package.all; -entity Fibonacci_action_feedback_pub is +entity Fibonacci_ros_action_feedback_pub is generic ( LITTLE_ENDIAN : std_logic := '0' ); @@ -62,7 +62,7 @@ entity Fibonacci_action_feedback_pub is ); end entity; -architecture arch of Fibonacci_action_feedback_pub is +architecture arch of Fibonacci_ros_action_feedback_pub is --*****TYPE DECLARATION***** -- FSM states. Explained below in detail diff --git a/src/ros2/example_interfaces/Fibonacci_action_feedback_sub.vhd b/src/ros2/example_interfaces/Fibonacci_ros_action_feedback_sub.vhd similarity index 99% rename from src/ros2/example_interfaces/Fibonacci_action_feedback_sub.vhd rename to src/ros2/example_interfaces/Fibonacci_ros_action_feedback_sub.vhd index 81d65b0..c63c90e 100644 --- a/src/ros2/example_interfaces/Fibonacci_action_feedback_sub.vhd +++ b/src/ros2/example_interfaces/Fibonacci_ros_action_feedback_sub.vhd @@ -10,7 +10,7 @@ use work.rtps_config_package.all; use work.ros_package.all; use work.Fibonacci_package.all; -entity Fibonacci_action_feedback_sub is +entity Fibonacci_ros_action_feedback_sub is port ( -- SYSTEM clk : in std_logic; @@ -75,7 +75,7 @@ entity Fibonacci_action_feedback_sub is ); end entity; -architecture arch of Fibonacci_action_feedback_sub is +architecture arch of Fibonacci_ros_action_feedback_sub is --*****TYPE DECLARATION***** -- FSM states. Explained below in detail diff --git a/src/ros2/rcl_interfaces/action_msgs/GoalStatusArray_pub.vhd b/src/ros2/rcl_interfaces/action_msgs/GoalStatusArray_ros_pub.vhd similarity index 99% rename from src/ros2/rcl_interfaces/action_msgs/GoalStatusArray_pub.vhd rename to src/ros2/rcl_interfaces/action_msgs/GoalStatusArray_ros_pub.vhd index becb449..e0f3e86 100644 --- a/src/ros2/rcl_interfaces/action_msgs/GoalStatusArray_pub.vhd +++ b/src/ros2/rcl_interfaces/action_msgs/GoalStatusArray_ros_pub.vhd @@ -10,7 +10,7 @@ use work.rtps_config_package.all; use work.ros_package.all; use work.GoalStatusArray_package.all; -entity GoalStatusArray_pub is +entity GoalStatusArray_ros_pub is generic ( LITTLE_ENDIAN : std_logic := '0' ); @@ -65,7 +65,7 @@ entity GoalStatusArray_pub is ); end entity; -architecture arch of GoalStatusArray_pub is +architecture arch of GoalStatusArray_ros_pub is --*****TYPE DECLARATION***** -- FSM states. Explained below in detail diff --git a/src/ros2/rcl_interfaces/action_msgs/GoalStatusArray_sub.vhd b/src/ros2/rcl_interfaces/action_msgs/GoalStatusArray_ros_sub.vhd similarity index 99% rename from src/ros2/rcl_interfaces/action_msgs/GoalStatusArray_sub.vhd rename to src/ros2/rcl_interfaces/action_msgs/GoalStatusArray_ros_sub.vhd index daa4e47..4d3b8a8 100644 --- a/src/ros2/rcl_interfaces/action_msgs/GoalStatusArray_sub.vhd +++ b/src/ros2/rcl_interfaces/action_msgs/GoalStatusArray_ros_sub.vhd @@ -10,7 +10,7 @@ use work.rtps_config_package.all; use work.ros_package.all; use work.GoalStatusArray_package.all; -entity GoalStatusArray_sub is +entity GoalStatusArray_ros_sub is port ( -- SYSTEM clk : in std_logic; @@ -76,7 +76,7 @@ entity GoalStatusArray_sub is ); end entity; -architecture arch of GoalStatusArray_sub is +architecture arch of GoalStatusArray_ros_sub is --*****TYPE DECLARATION***** -- FSM states. Explained below in detail