library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity md5_calculator is port ( clk : in std_logic; reset : in std_logic; start : in std_logic; ack : out std_logic; data_in : in std_logic_vector(7 downto 0); valid_in : in std_logic; ready_in : out std_logic; last_word_in : in std_logic; hash_out : out std_logic_vector(127 downto 0); done : out std_logic ); end entity;