library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library osvvm; -- Utility Library context osvvm.OsvvmContext; use work.rtps_test_package.all; package ScoreBoardPkg_MemoryTest is new osvvm.ScoreboardGenericPkg generic map ( ExpectedType => TEST_MEMORY_TYPE, ActualType => TEST_MEMORY_TYPE, Match => test_memory_match, expected_to_string => to_string, actual_to_string => to_string );