-- altera vhdl_input_version vhdl_2008 -- XXX: QSYS Fix (https://www.intel.com/content/www/us/en/support/programmable/articles/000079458.html) library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.math_pkg.all; use work.rtps_package.all; use work.user_config.all; use work.rtps_config_package.all; use work.Type1_package.all; entity dds_reader_syn is port ( -- SYSTEM clk : in std_logic; reset : in std_logic; time : in TIME_TYPE; -- FROM RTPS ENDPOINT start_rtps : in std_logic_vector(0 to 0); opcode_rtps : in HISTORY_CACHE_OPCODE_ARRAY_TYPE(0 to 0); ack_rtps : out std_logic_vector(0 to 0); done_rtps : out std_logic_vector(0 to 0); ret_rtps : out HISTORY_CACHE_RESPONSE_ARRAY_TYPE(0 to 0); data_in_rtps : in WORD_ARRAY_TYPE(0 to 0); valid_in_rtps : in std_logic_vector(0 to 0); ready_in_rtps : out std_logic_vector(0 to 0); last_word_in_rtps : in std_logic_vector(0 to 0); -- TO USER ENTITY start_dds : in std_logic_vector(0 to 0); ack_dds : out std_logic_vector(0 to 0); opcode_dds : in DDS_READER_OPCODE_ARRAY_TYPE(0 to 0); instance_state_dds : in INSTANCE_STATE_ARRAY_TYPE(0 to 0); view_state_dds : in VIEW_STATE_ARRAY_TYPE(0 to 0); sample_state_dds : in SAMPLE_STATE_ARRAY_TYPE(0 to 0); instance_handle_dds : in INSTANCE_HANDLE_ARRAY_TYPE(0 to 0); max_samples_dds : in MAX_SAMPLES_ARRAY_TYPE(0 to 0); get_data_dds : in std_logic_vector(0 to 0); done_dds : out std_logic_vector(0 to 0); return_code_dds : out RETURN_CODE_ARRAY_TYPE(0 to 0); valid_out_dds : out std_logic_vector(0 to 0); ready_out_dds : in std_logic_vector(0 to 0); data_out_dds : out WORD_ARRAY_TYPE(0 to 0); last_word_out_dds : out std_logic_vector(0 to 0); sample_info : out SAMPLE_INFO_ARRAY_TYPE(0 to 0); sample_info_valid : out std_logic_vector(0 to 0); sample_info_ack : in std_logic_vector(0 to 0); eoc : out std_logic_vector(0 to 0); -- Communication Status status : out STATUS_KIND_ARRAY_TYPE(0 to 0) ); end entity; architecture arch of dds_reader_syn is begin if_gen : if (NUM_READERS > 0) generate syn_inst : entity work.dds_reader(arch) generic map ( NUM_READERS => 1, CONFIG_ARRAY => to_QUARTUS_CONFIG_ARRAY_TYPE(ENDPOINT_CONFIG(0 to 0)), MAX_REMOTE_ENDPOINTS => 50 ) port map ( clk => clk, reset => reset, time => time, start_rtps => start_rtps, opcode_rtps => opcode_rtps, ack_rtps => ack_rtps, done_rtps => done_rtps, ret_rtps => ret_rtps, data_in_rtps => data_in_rtps, valid_in_rtps => valid_in_rtps, ready_in_rtps => ready_in_rtps, last_word_in_rtps => last_word_in_rtps, start_dds => start_dds, ack_dds => ack_dds, opcode_dds => opcode_dds, instance_state_dds => instance_state_dds, view_state_dds => view_state_dds, sample_state_dds => sample_state_dds, instance_handle_dds => instance_handle_dds, max_samples_dds => max_samples_dds, get_data_dds => get_data_dds, done_dds => done_dds, return_code_dds => return_code_dds, ready_out_dds => ready_out_dds, valid_out_dds => valid_out_dds, data_out_dds => data_out_dds, last_word_out_dds => last_word_out_dds, sample_info => sample_info, sample_info_valid => sample_info_valid, sample_info_ack => sample_info_ack, eoc => eoc, status => status ); end generate; end architecture;