library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package ip_package is -- TODO : Fix assignemnt of 1 wide array constant LAYER3_PROTOCOL_NUM : integer := 1; type LAYER3_PROTOCOL_TYPE is array (LAYER3_PROTOCOL_NUM-1 downto 0) of std_logic_vector(7 downto 0); constant LAYER3_PROTOCOLS : LAYER3_PROTOCOL_TYPE := (0 => x"11"); --OUTPUT TYPES type IP_OUTPUT_TYPE is array (LAYER3_PROTOCOL_NUM-1 downto 0) of std_logic_vector(31 downto 0); end package; package body ip_package is end package body;