library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library osvvm; -- Utility Library context osvvm.OsvvmContext; use work.rtps_package.all; use work.user_config.all; use work.rtps_config_package.all; use work.rtps_test_package.all; -- This testbench tests the DDS WAIT_FOR_ACKNOWLEDGEMENTS Operation of the DDS Writer. entity L0_dds_writer_test2_aik is end entity; architecture testbench of L0_dds_writer_test2_aik is -- *CONSTANT DECLARATION* constant MAX_REMOTE_ENDPOINTS : natural := 3; -- *TYPE DECLARATION* type DDS_STAGE_TYPE is (IDLE, START, PUSH, DONE); type RTPS_STAGE_TYPE is (IDLE, START, DONE, CHECK); -- *SIGNAL DECLARATION* signal clk : std_logic := '0'; signal reset : std_logic := '1'; signal check_time : TIME_TYPE := TIME_ZERO; signal start_rtps, start_dds, ack_rtps, ack_dds, done_rtps, done_dds : std_logic := '0'; signal opcode_rtps : HISTORY_CACHE_OPCODE_TYPE := NOP; signal opcode_dds : DDS_WRITER_OPCODE_TYPE := NOP; signal ret_rtps : HISTORY_CACHE_RESPONSE_TYPE := ERROR; signal seq_nr_rtps, cc_seq_nr : SEQUENCENUMBER_TYPE := SEQUENCENUMBER_UNKNOWN; signal ready_out_rtps, valid_out_rtps, last_word_out_rtps : std_logic := '0'; signal ready_in_dds, ready_out_dds, valid_in_dds, valid_out_dds, last_word_in_dds, last_word_out_dds : std_logic := '0'; signal data_out_rtps, data_in_dds, data_out_dds : std_logic_vector(WORD_WIDTH-1 downto 0) := (others => '0'); signal get_data_rtps, liveliness_assertion, data_available : std_logic := '0'; signal cc_source_timestamp, source_ts_dds : TIME_TYPE := TIME_INVALID; signal cc_kind : CACHE_CHANGE_KIND_TYPE := ALIVE; signal cc_instance_handle, instance_handle_in_dds, instance_handle_out_dds : INSTANCE_HANDLE_TYPE := HANDLE_NIL; signal max_wait_dds : DURATION_TYPE := DURATION_INFINITE; signal return_code_dds : std_logic_vector(RETURN_CODE_WIDTH-1 downto 0) := (others => '0'); signal status : std_logic_vector(STATUS_KIND_WIDTH-1 downto 0) := (others => '0'); signal dds_start, dds_done, rtps_start, rtps_done : std_logic := '0'; signal dds_cnt, rtps_cnt : natural := 0; signal dds_stage : DDS_STAGE_TYPE := IDLE; signal rtps_stage : RTPS_STAGE_TYPE := IDLE; shared variable dds : DDS_WRITER_TEST_TYPE := DEFAULT_DDS_WRITER_TEST; shared variable rtps : RTPS_WRITER_TEST_TYPE := DEFAULT_RTPS_WRITER_TEST; signal inst_id, kind_id, sn_id, ts_id, data_id, ret_id, ih_id : AlertLogIDType; -- *FUNCTION DECLARATION* function extract_key_hash (payload : TEST_PACKET_TYPE) return INSTANCE_HANDLE_TYPE is variable ret : INSTANCE_HANDLE_TYPE := HANDLE_NIL; begin for i in 0 to 3 loop ret(i) := not payload.data(i); end loop; return ret; end function; function gen_sn(input : natural) return SEQUENCENUMBER_TYPE is variable ret : SEQUENCENUMBER_TYPE; begin ret(0) := (others => '0'); ret(1) := unsigned(int(input, WORD_WIDTH)); return ret; end function; begin -- Unit Under Test uut : entity work.dds_writer(arch) generic map( HISTORY_QOS => KEEP_ALL_HISTORY_QOS, DEADLINE_QOS => DURATION_INFINITE, LIFESPAN_QOS => DURATION_INFINITE, LEASE_DURATION => DURATION_INFINITE, WITH_KEY => TRUE, MAX_SAMPLES => std_logic_vector(to_unsigned(4,CDR_LONG_WIDTH)), MAX_INSTANCES => std_logic_vector(to_unsigned(3,CDR_LONG_WIDTH)), MAX_SAMPLES_PER_INSTANCE => std_logic_vector(to_unsigned(2,CDR_LONG_WIDTH)), PAYLOAD_FRAME_SIZE => 11 ) port map ( clk => clk, reset => reset, time => check_time, start_rtps => start_rtps, opcode_rtps => opcode_rtps, ack_rtps => ack_rtps, done_rtps => done_rtps, ret_rtps => ret_rtps, seq_nr_rtps => seq_nr_rtps, get_data_rtps => get_data_rtps, data_out_rtps => data_out_rtps, valid_out_rtps => valid_out_rtps, ready_out_rtps => ready_out_rtps, last_word_out_rtps => last_word_out_rtps, liveliness_assertion => liveliness_assertion, data_available => data_available, cc_instance_handle => cc_instance_handle, cc_kind => cc_kind, cc_source_timestamp => cc_source_timestamp, cc_seq_nr => cc_seq_nr, start_dds => start_dds, ack_dds => ack_dds, opcode_dds => opcode_dds, instance_handle_in_dds => instance_handle_in_dds, source_ts_dds => source_ts_dds, max_wait_dds => max_wait_dds, done_dds => done_dds, return_code_dds => return_code_dds, ready_in_dds => ready_in_dds, valid_in_dds => valid_in_dds, data_in_dds => data_in_dds, last_word_in_dds => last_word_in_dds, ready_out_dds => ready_out_dds, valid_out_dds => valid_out_dds, data_out_dds => data_out_dds, last_word_out_dds => last_word_out_dds, status => status ); stimulus_prc : process variable RV : RandomPType; variable kh1, kh2, kh3, kh4 : INSTANCE_HANDLE_TYPE := HANDLE_NIL; variable cc1, cc2, cc3, cc4, cc : CACHE_CHANGE_TYPE := DEFAULT_CACHE_CHANGE; impure function gen_payload(key_hash : INSTANCE_HANDLE_TYPE; len : natural) return TEST_PACKET_TYPE is variable ret : TEST_PACKET_TYPE := EMPTY_TEST_PACKET; begin assert (len >= 4) report "Payload length has to be at least 16 Bytes long" severity FAILURE; for i in 0 to len-1 loop if (i < 4) then -- NOTE: Beginning of payload is negated key to allow deterministic Key Hash generation from the kh_prc ret.data(ret.length) := not key_hash(i); else ret.data(ret.length) := RV.RandSlv(WORD_WIDTH); end if; ret.length := ret.length + 1; end loop; ret.last(ret.length-1) := '1'; return ret; end function; impure function gen_key_hash return KEY_HASH_TYPE is variable ret : KEY_HASH_TYPE := KEY_HASH_NIL; begin for i in 0 to KEY_HASH_TYPE'length-1 loop ret(i) := RV.RandSlv(WORD_WIDTH); end loop; return ret; end function; procedure start_dds is begin dds_start <= '1'; wait until rising_edge(clk); dds_start <= '0'; wait until rising_edge(clk); end procedure; procedure start_rtps is begin rtps_start <= '1'; wait until rising_edge(clk); rtps_start <= '0'; wait until rising_edge(clk); end procedure; procedure wait_on_dds is begin if (dds_done /= '1') then wait until dds_done = '1'; end if; end procedure; procedure wait_on_rtps is begin if (rtps_done /= '1') then wait until rtps_done = '1'; end if; end procedure; procedure wait_on_completion is begin if (rtps_done /= '1' or dds_done /= '1') then wait until rtps_done = '1' and dds_done = '1'; end if; end procedure; begin SetAlertLogName("L0_dds_writer_test2_aik - (KEEP ALL, Infinite Lifespan, Keyed) - Wait For Acknowledgements"); SetAlertEnable(FAILURE, TRUE); SetAlertEnable(ERROR, TRUE); SetAlertEnable(WARNING, TRUE); SetLogEnable(DEBUG, FALSE); SetLogEnable(PASSED, FALSE); SetLogEnable(INFO, TRUE); RV.InitSeed(RV'instance_name); inst_id <= GetAlertLogID("Instance", ALERTLOG_BASE_ID); kind_id <= GetAlertLogID("Cache Change Kind", ALERTLOG_BASE_ID); sn_id <= GetAlertLogID("SequenceNumber", ALERTLOG_BASE_ID); ts_id <= GetAlertLogID("TimeStamp", ALERTLOG_BASE_ID); ih_id <= GetAlertLogID("Instance Handle", ALERTLOG_BASE_ID); data_id <= GetAlertLogID("Data Out", ALERTLOG_BASE_ID); ret_id <= GetAlertLogID("Return Code", ALERTLOG_BASE_ID); -- Key Hashes kh1 := gen_key_hash; kh2 := gen_key_hash; kh3 := gen_key_hash; kh4 := gen_key_hash; Log("Initiating Test", INFO); Log("Current Time: 0s", INFO); check_time <= TIME_ZERO; reset <= '1'; wait until rising_edge(clk); wait until rising_edge(clk); reset <= '0'; -- Stored CC: 0, 0, 0, 0 Log("DDS Operation WAIT_FOR_ACKNOWLEDGEMENTS [max_wait 0s] (OK)", INFO); dds := DEFAULT_DDS_WRITER_TEST; dds.opcode := WAIT_FOR_ACKNOWLEDGEMENTS; dds.ret_code := RETCODE_OK; max_wait_dds <= gen_duration(0,0); start_dds; wait_on_dds; cc := DEFAULT_CACHE_CHANGE; cc.serialized_key := FALSE; cc.kind := ALIVE; cc.instance := kh1; cc.payload := gen_payload(kh1,10); cc.seq_nr := gen_sn(1); cc.src_timestamp := gen_duration(1,0); Log("DDS Operation WRITE [TS 1s, Instance 1, HANDLE_NIL, Aligned Payload] (ACCEPTED)", INFO); dds := DEFAULT_DDS_WRITER_TEST; dds.opcode := WRITE; dds.cc := cc; dds.cc.instance:= HANDLE_NIL; dds.ret_code := RETCODE_OK; start_dds; wait_on_dds; cc1 := cc; -- Stored CC: I1S1, 0, 0, 0 cc := DEFAULT_CACHE_CHANGE; cc.serialized_key := FALSE; cc.kind := ALIVE; cc.instance := kh2; cc.payload := gen_payload(kh2,10); cc.seq_nr := gen_sn(2); cc.src_timestamp := gen_duration(2,0); Log("DDS Operation WRITE [TS 2s, Instance 2, HANDLE_NIL, Aligned Payload] (ACCEPTED)", INFO); dds := DEFAULT_DDS_WRITER_TEST; dds.opcode := WRITE; dds.cc := cc; dds.cc.instance:= HANDLE_NIL; dds.ret_code := RETCODE_OK; start_dds; wait_on_dds; cc2 := cc; -- Stored CC: I1S1, I2S2, 0, 0 Log("DDS Operation WAIT_FOR_ACKNOWLEDGEMENTS [max_wait 0s] (TIMEOUT)", INFO); dds := DEFAULT_DDS_WRITER_TEST; dds.opcode := WAIT_FOR_ACKNOWLEDGEMENTS; dds.ret_code := RETCODE_TIMEOUT; max_wait_dds <= gen_duration(0,0); start_dds; wait_on_dds; Log("DDS Operation WAIT_FOR_ACKNOWLEDGEMENTS [max_wait 1s]", INFO); dds := DEFAULT_DDS_WRITER_TEST; dds.opcode := WAIT_FOR_ACKNOWLEDGEMENTS; dds.ret_code := RETCODE_TIMEOUT; max_wait_dds <= gen_duration(1,0); start_dds; Log("RTPS Operation ACK_CACHE_CHANGE SN 1", INFO); rtps := DEFAULT_RTPS_WRITER_TEST; rtps.opcode := ACK_CACHE_CHANGE; rtps.cc := cc1; start_rtps; wait_on_rtps; Log("Current Time: 1s", INFO); Log("WAIT_FOR_ACKNOWLEDGEMENTS Return (TIMEOUT)", INFO); check_time <= gen_duration(1,0); wait until rising_edge(clk); wait_on_dds; Log("DDS Operation WAIT_FOR_ACKNOWLEDGEMENTS [max_wait 1s]", INFO); dds := DEFAULT_DDS_WRITER_TEST; dds.opcode := WAIT_FOR_ACKNOWLEDGEMENTS; dds.ret_code := RETCODE_OK; max_wait_dds <= gen_duration(1,0); start_dds; Log("RTPS Operation ACK_CACHE_CHANGE SN 2", INFO); Log("WAIT_FOR_ACKNOWLEDGEMENTS Return (OK)", INFO); rtps := DEFAULT_RTPS_WRITER_TEST; rtps.opcode := ACK_CACHE_CHANGE; rtps.cc := cc2; start_rtps; wait_on_rtps; wait_on_dds; wait_on_completion; TranscriptOpen(RESULTS_FILE, APPEND_MODE); SetTranscriptMirror; ReportAlerts; TranscriptClose; std.env.stop; wait; end process; clock_prc : process begin clk <= '0'; wait for 25 ns; clk <= '1'; wait for 25 ns; end process; alert_prc : process(all) begin if rising_edge(clk) then -- TODO end if; end process; dds_prc : process(all) begin if rising_edge(clk) then dds_done <= '0'; case (dds_stage) is when IDLE => if (dds_start = '1') then dds_stage <= START; else dds_done <= '1'; end if; when START => if (ack_dds = '1') then case (dds.opcode) is when WAIT_FOR_ACKNOWLEDGEMENTS => dds_stage <= DONE; dds_cnt <= 0; when others => dds_stage <= PUSH; dds_cnt <= 0; end case; end if; when PUSH => if (ready_in_dds = '1') then dds_cnt <= dds_cnt + 1; if (dds_cnt = dds.cc.payload.length-1) then -- DEFAULT dds_stage <= DONE; end if; end if; when DONE => if (done_dds = '1') then if (dds.opcode = REGISTER_INSTANCE or dds.opcode = LOOKUP_INSTANCE) then AffirmIfEqual(ih_id, to_unsigned(instance_handle_out_dds), to_unsigned(dds.cc.instance)); else AffirmIfEqual(ret_id, return_code_dds, dds.ret_code); end if; dds_stage <= IDLE; end if; end case; end if; -- DEFAULT start_dds <= '0'; opcode_dds <= NOP; valid_in_dds <= '0'; last_word_in_dds <= '0'; data_in_dds <= (others => '0'); instance_handle_in_dds <= HANDLE_NIL; source_ts_dds <= TIME_INVALID; ready_out_dds <= '0'; case (dds_stage) is when START => start_dds <= '1'; opcode_dds <= dds.opcode; instance_handle_in_dds <= dds.cc.instance; source_ts_dds <= dds.cc.src_timestamp; when PUSH => valid_in_dds <= '1'; data_in_dds <= dds.cc.payload.data(dds_cnt); last_word_in_dds <= dds.cc.payload.last(dds_cnt); when others => null; end case; end process; rtps_prc : process(all) begin if rising_edge(clk) then rtps_done <= '0'; case (rtps_stage) is when IDLE => if (rtps_start = '1') then rtps_stage <= START; else rtps_done <= '1'; end if; when START => if (ack_rtps = '1') then rtps_stage <= DONE; end if; when DONE => if (done_rtps = '1') then -- DEFAULT rtps_stage <= IDLE; AffirmIfEqual(ret_id, HISTORY_CACHE_RESPONSE_TYPE'pos(ret_rtps), HISTORY_CACHE_RESPONSE_TYPE'pos(rtps.ret_code)); case (rtps.opcode) is when GET_CACHE_CHANGE => if (rtps.ret_code = OK) then AffirmIfEqual(inst_id, to_unsigned(cc_instance_handle), to_unsigned(rtps.cc.instance)); AffirmIfEqual(kind_id, CACHE_CHANGE_KIND_TYPE'pos(cc_kind), CACHE_CHANGE_KIND_TYPE'pos(rtps.cc.kind)); AffirmIfEqual(sn_id, to_unsigned(cc_seq_nr), to_unsigned(rtps.cc.seq_nr)); AffirmIfEqual(ts_id, to_unsigned(cc_source_timestamp), to_unsigned(rtps.cc.src_timestamp)); rtps_stage <= CHECK; rtps_cnt <= 0; end if; when GET_MIN_SN => AffirmIfEqual(sn_id, to_unsigned(cc_seq_nr), to_unsigned(rtps.cc.seq_nr)); when GET_MAX_SN => AffirmIfEqual(sn_id, to_unsigned(cc_seq_nr), to_unsigned(rtps.cc.seq_nr)); when others => null; end case; end if; when CHECK => if (valid_out_rtps = '1') then AffirmIfEqual(data_id, last_word_out_rtps & data_out_rtps, rtps.cc.payload.last(rtps_cnt) & rtps.cc.payload.data(rtps_cnt)); rtps_cnt <= rtps_cnt + 1; if (rtps_cnt = rtps.cc.payload.length-1) then rtps_stage <= IDLE; end if; end if; end case; end if; -- DEFAULT start_rtps <= '0'; opcode_rtps <= NOP; seq_nr_rtps <= SEQUENCENUMBER_UNKNOWN; get_data_rtps <= '0'; ready_out_rtps <= '0'; case (rtps_stage) is when START => start_rtps <= '1'; opcode_rtps <= rtps.opcode; seq_nr_rtps <= rtps.cc.seq_nr; when DONE => if (done_rtps = '1') then case (rtps.opcode) is when GET_CACHE_CHANGE => get_data_rtps <= '1'; when others => null; end case; end if; when CHECK => ready_out_rtps <= '1'; when others => null; end case; end process; watchdog : process begin wait for 1 ms; Alert("Test timeout", FAILURE); std.env.stop; end process; end architecture;