Templates with the pre-defined code hull for the reader_wrapper, writer_wrapper, and key_holder were created. A "cookbook" of how to expand this TEMPLATES to create type specific IDL conversions was also added. The "code generation" is kept general to allow a code generator to automatically produce this wrappers in the future.
21 lines
598 B
VHDL
21 lines
598 B
VHDL
library ieee;
|
|
use ieee.std_logic_1164.all;
|
|
use ieee.numeric_std.all;
|
|
|
|
entity key_hash_generator is
|
|
port (
|
|
clk : in std_logic;
|
|
reset : in std_logic;
|
|
|
|
start : in std_logic;
|
|
ack : out std_logic;
|
|
|
|
data_in : in std_logic_vector(7 downto 0);
|
|
valid_in : in std_logic;
|
|
ready_in : out std_logic;
|
|
last_word_in : in std_logic;
|
|
|
|
key_hash : out std_logic_vector(127 downto 0);
|
|
done : out std_logic
|
|
);
|
|
end entity; |