* Add test RAM (Used for testbenches) * Add Data Structures and functions to check memory contents * Add rtps_builtin_endpoint_test1 - Compiles and Passes * Various bug fixes in rtps_builtin_endpoint to Pass testbench
17 lines
488 B
VHDL
17 lines
488 B
VHDL
library ieee;
|
|
use ieee.std_logic_1164.all;
|
|
use ieee.numeric_std.all;
|
|
|
|
library osvvm; -- Utility Library
|
|
context osvvm.OsvvmContext;
|
|
|
|
use work.rtps_test_package.all;
|
|
|
|
package ScoreBoardPkg_MemoryTest is new osvvm.ScoreboardGenericPkg
|
|
generic map (
|
|
ExpectedType => TEST_MEMORY_TYPE,
|
|
ActualType => TEST_MEMORY_TYPE,
|
|
Match => test_memory_match,
|
|
expected_to_string => to_string,
|
|
actual_to_string => to_string
|
|
); |