BUG FIX: Fix instance_handle Port Directions of Writer interfaces

This commit is contained in:
John Ring 2022-03-09 14:40:51 +01:00
parent e38c1dcf55
commit 27e5b808b0
45 changed files with 170 additions and 170 deletions

View File

@ -20,12 +20,12 @@ entity TYPENAME_writer_interface is
start_dds : out std_logic;
ack_dds : in std_logic;
opcode_dds : out DDS_WRITER_OPCODE_TYPE;
instance_handle_out_dds : out INSTANCE_HANDLE_TYPE;
instance_handle_in_dds : out INSTANCE_HANDLE_TYPE;
source_ts_dds : out TIME_TYPE;
max_wait_dds : out DURATION_TYPE;
done_dds : in std_logic;
return_code_dds : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0);
instance_handle_in_dds : in INSTANCE_HANDLE_TYPE;
instance_handle_out_dds : in INSTANCE_HANDLE_TYPE;
valid_out_dds : out std_logic;
ready_out_dds : in std_logic;
data_out_dds : out std_logic_vector(WORD_WIDTH-1 downto 0);
@ -93,12 +93,12 @@ begin
start_dds <= start_user;
ack_user <= ack_dds;
opcode_dds <= opcode_user;
instance_handle_out_dds <= instance_handle_in_user;
instance_handle_in_dds <= instance_handle_in_user;
source_ts_dds <= source_ts_user;
max_wait_dds <= max_wait_user;
done_user <= done_dds;
return_code_user <= return_code_dds;
instance_handle_out_user <= instance_handle_in_dds;
instance_handle_out_user <= instance_handle_out_dds;
status_user <= status_dds;
-- ###GENERATED START###

View File

@ -51,12 +51,12 @@ begin
start_dds => open,
ack_dds => '1',
opcode_dds => open,
instance_handle_out_dds => open,
instance_handle_out_dds => HANDLE_NIL,
source_ts_dds => open,
max_wait_dds => open,
done_dds => '1',
return_code_dds => RETCODE_OK,
instance_handle_in_dds => HANDLE_NIL,
instance_handle_in_dds => open,
ready_out_dds => ready,
valid_out_dds => valid,
data_out_dds => data,

View File

@ -54,12 +54,12 @@ begin
start_dds => open,
ack_dds => '1',
opcode_dds => open,
instance_handle_out_dds => open,
instance_handle_out_dds => HANDLE_NIL,
source_ts_dds => open,
max_wait_dds => open,
done_dds => '1',
return_code_dds => RETCODE_OK,
instance_handle_in_dds => HANDLE_NIL,
instance_handle_in_dds => open,
ready_out_dds => ready,
valid_out_dds => valid,
data_out_dds => data,

View File

@ -41,12 +41,12 @@ begin
start_dds => open,
ack_dds => '1',
opcode_dds => open,
instance_handle_out_dds => open,
instance_handle_out_dds => HANDLE_NIL,
source_ts_dds => open,
max_wait_dds => open,
done_dds => '1',
return_code_dds => RETCODE_OK,
instance_handle_in_dds => HANDLE_NIL,
instance_handle_in_dds => open,
ready_out_dds => ready_w,
valid_out_dds => valid_w,
data_out_dds => data_w,

View File

@ -44,12 +44,12 @@ begin
start_dds => open,
ack_dds => '1',
opcode_dds => open,
instance_handle_out_dds => open,
instance_handle_out_dds => HANDLE_NIL,
source_ts_dds => open,
max_wait_dds => open,
done_dds => '1',
return_code_dds => RETCODE_OK,
instance_handle_in_dds => HANDLE_NIL,
instance_handle_in_dds => open,
ready_out_dds => ready_w,
valid_out_dds => valid_w,
data_out_dds => data_w,

View File

@ -73,12 +73,12 @@ begin
start_dds => open,
ack_dds => '1',
opcode_dds => open,
instance_handle_out_dds => open,
instance_handle_out_dds => HANDLE_NIL,
source_ts_dds => open,
max_wait_dds => open,
done_dds => '1',
return_code_dds => RETCODE_OK,
instance_handle_in_dds => HANDLE_NIL,
instance_handle_in_dds => open,
ready_out_dds => ready,
valid_out_dds => valid,
data_out_dds => data,

View File

@ -76,12 +76,12 @@ begin
start_dds => open,
ack_dds => '1',
opcode_dds => open,
instance_handle_out_dds => open,
instance_handle_out_dds => HANDLE_NIL,
source_ts_dds => open,
max_wait_dds => open,
done_dds => '1',
return_code_dds => RETCODE_OK,
instance_handle_in_dds => HANDLE_NIL,
instance_handle_in_dds => open,
ready_out_dds => ready,
valid_out_dds => valid,
data_out_dds => data,

View File

@ -63,12 +63,12 @@ begin
start_dds => open,
ack_dds => '1',
opcode_dds => open,
instance_handle_out_dds => open,
instance_handle_out_dds => HANDLE_NIL,
source_ts_dds => open,
max_wait_dds => open,
done_dds => '1',
return_code_dds => RETCODE_OK,
instance_handle_in_dds => HANDLE_NIL,
instance_handle_in_dds => open,
ready_out_dds => ready_w,
valid_out_dds => valid_w,
data_out_dds => data_w,

View File

@ -66,12 +66,12 @@ begin
start_dds => open,
ack_dds => '1',
opcode_dds => open,
instance_handle_out_dds => open,
instance_handle_out_dds => HANDLE_NIL,
source_ts_dds => open,
max_wait_dds => open,
done_dds => '1',
return_code_dds => RETCODE_OK,
instance_handle_in_dds => HANDLE_NIL,
instance_handle_in_dds => open,
ready_out_dds => ready_w,
valid_out_dds => valid_w,
data_out_dds => data_w,

View File

@ -169,12 +169,12 @@ begin
start_dds => start_wr_dds,
ack_dds => ack_wr_dds,
opcode_dds => opcode_wr_dds,
instance_handle_in_dds => instance_handle_out_wr_dds,
instance_handle_in_dds => instance_handle_in_wr_dds,
source_ts_dds => source_ts_wr_dds,
max_wait_dds => max_wait_wr_dds,
done_dds => done_wr_dds,
return_code_dds => return_code_wr_dds,
instance_handle_out_dds => instance_handle_in_wr_dds,
instance_handle_out_dds => instance_handle_out_wr_dds,
ready_in_dds => ready_out_wr_dds,
valid_in_dds => valid_out_wr_dds,
data_in_dds => data_out_wr_dds,

View File

@ -608,12 +608,12 @@ begin
start_dds => start_wi_dw(0),
ack_dds => ack_dw_wi(0),
opcode_dds => opcode_wi_dw(0),
instance_handle_in_dds => instance_handle_dw_wi(0),
instance_handle_in_dds => instance_handle_wi_dw(0),
source_ts_dds => source_ts_wi_dw(0),
max_wait_dds => max_wait_wi_dw(0),
done_dds => done_dw_wi(0),
return_code_dds => return_code_dw_wi(0),
instance_handle_out_dds => instance_handle_wi_dw(0),
instance_handle_out_dds => instance_handle_dw_wi(0),
valid_out_dds => valid_wi_dw(0),
ready_out_dds => ready_dw_wi(0),
data_out_dds => data_wi_dw(0)(WORD_WIDTH-1 downto 0),

View File

@ -624,12 +624,12 @@ begin
start_dds => start_wi_dw(0),
ack_dds => ack_dw_wi(0),
opcode_dds => opcode_wi_dw(0),
instance_handle_in_dds => instance_handle_dw_wi(0),
instance_handle_in_dds => instance_handle_wi_dw(0),
source_ts_dds => source_ts_wi_dw(0),
max_wait_dds => max_wait_wi_dw(0),
done_dds => done_dw_wi(0),
return_code_dds => return_code_dw_wi(0),
instance_handle_out_dds => instance_handle_wi_dw(0),
instance_handle_out_dds => instance_handle_dw_wi(0),
valid_out_dds => valid_wi_dw(0),
ready_out_dds => ready_dw_wi(0),
data_out_dds => data_wi_dw(0)(WORD_WIDTH-1 downto 0),

View File

@ -21,12 +21,12 @@ entity Type1_writer_interface is
start_dds : out std_logic;
ack_dds : in std_logic;
opcode_dds : out DDS_WRITER_OPCODE_TYPE;
instance_handle_out_dds : out INSTANCE_HANDLE_TYPE;
instance_handle_in_dds : out INSTANCE_HANDLE_TYPE;
source_ts_dds : out TIME_TYPE;
max_wait_dds : out DURATION_TYPE;
done_dds : in std_logic;
return_code_dds : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0);
instance_handle_in_dds : in INSTANCE_HANDLE_TYPE;
instance_handle_out_dds : in INSTANCE_HANDLE_TYPE;
ready_out_dds : in std_logic;
valid_out_dds : out std_logic;
data_out_dds : out std_logic_vector(WORD_WIDTH-1 downto 0);
@ -88,12 +88,12 @@ begin
start_dds <= start_user;
ack_user <= ack_dds;
opcode_dds <= opcode_user;
instance_handle_out_dds <= instance_handle_in_user;
instance_handle_in_dds <= instance_handle_in_user;
source_ts_dds <= source_ts_user;
max_wait_dds <= max_wait_user;
done_user <= done_dds;
return_code_user <= return_code_dds;
instance_handle_out_user <= instance_handle_in_dds;
instance_handle_out_user <= instance_handle_out_dds;
status_user <= status_dds;
main_prc : process (all)

View File

@ -21,12 +21,12 @@ entity Type2_writer_interface is
start_dds : out std_logic;
ack_dds : in std_logic;
opcode_dds : out DDS_WRITER_OPCODE_TYPE;
instance_handle_out_dds : out INSTANCE_HANDLE_TYPE;
instance_handle_in_dds : out INSTANCE_HANDLE_TYPE;
source_ts_dds : out TIME_TYPE;
max_wait_dds : out DURATION_TYPE;
done_dds : in std_logic;
return_code_dds : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0);
instance_handle_in_dds : in INSTANCE_HANDLE_TYPE;
instance_handle_out_dds : in INSTANCE_HANDLE_TYPE;
ready_out_dds : in std_logic;
valid_out_dds : out std_logic;
data_out_dds : out std_logic_vector(WORD_WIDTH-1 downto 0);
@ -344,12 +344,12 @@ begin
start_dds <= start_user;
ack_user <= ack_dds;
opcode_dds <= opcode_user;
instance_handle_out_dds <= instance_handle_in_user;
instance_handle_in_dds <= instance_handle_in_user;
source_ts_dds <= source_ts_user;
max_wait_dds <= max_wait_user;
done_user <= done_dds;
return_code_user <= return_code_dds;
instance_handle_out_user <= instance_handle_in_dds;
instance_handle_out_user <= instance_handle_out_dds;
status_user <= status_dds;
-- ###GENERATED START###

View File

@ -21,12 +21,12 @@ entity TEMPLATE_pub is
start_dds : out std_logic;
ack_dds : in std_logic;
opcode_dds : out DDS_WRITER_OPCODE_TYPE;
instance_handle_out_dds : out INSTANCE_HANDLE_TYPE;
instance_handle_in_dds : out INSTANCE_HANDLE_TYPE;
source_ts_dds : out TIME_TYPE;
max_wait_dds : out DURATION_TYPE;
done_dds : in std_logic;
return_code_dds : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0);
instance_handle_in_dds : in INSTANCE_HANDLE_TYPE;
instance_handle_out_dds : in INSTANCE_HANDLE_TYPE;
valid_out_dds : out std_logic;
ready_out_dds : in std_logic;
data_out_dds : out std_logic_vector(WORD_WIDTH-1 downto 0);
@ -84,7 +84,7 @@ begin
-- ###GENERATED END###
-- PASSTHROUGH
instance_handle_out_dds <= HANDLE_NIL;
instance_handle_in_dds <= HANDLE_NIL;
source_ts_dds <= TIME_INVALID;
max_wait_dds <= DURATION_ZERO;
ready_in_dds <= '0'; -- DDS Writer Input is unused

View File

@ -42,12 +42,12 @@ entity TEMPLATE_srv_client is
start_w : out std_logic;
ack_w : in std_logic;
opcode_w : out DDS_WRITER_OPCODE_TYPE;
instance_handle_out_w : out INSTANCE_HANDLE_TYPE;
instance_handle_in_w : out INSTANCE_HANDLE_TYPE;
source_ts_w : out TIME_TYPE;
max_wait_w : out DURATION_TYPE;
done_w : in std_logic;
return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0);
instance_handle_in_w : in INSTANCE_HANDLE_TYPE;
instance_handle_out_w : in INSTANCE_HANDLE_TYPE;
valid_out_w : out std_logic;
ready_out_w : in std_logic;
data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0);
@ -135,7 +135,7 @@ begin
sample_state_r <= ANY_SAMPLE_STATE;
instance_handle_r <= HANDLE_NIL;
max_samples_r <= (others => '0');
instance_handle_out_w <= HANDLE_NIL;
instance_handle_in_w <= HANDLE_NIL;
source_ts_w <= TIME_INVALID;
max_wait_w <= DURATION_ZERO;
ready_in_w <= '0'; -- DDS Writer Input is unused

View File

@ -42,12 +42,12 @@ entity TEMPLATE_srv_server is
start_w : out std_logic;
ack_w : in std_logic;
opcode_w : out DDS_WRITER_OPCODE_TYPE;
instance_handle_out_w : out INSTANCE_HANDLE_TYPE;
instance_handle_in_w : out INSTANCE_HANDLE_TYPE;
source_ts_w : out TIME_TYPE;
max_wait_w : out DURATION_TYPE;
done_w : in std_logic;
return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0);
instance_handle_in_w : in INSTANCE_HANDLE_TYPE;
instance_handle_out_w : in INSTANCE_HANDLE_TYPE;
valid_out_w : out std_logic;
ready_out_w : in std_logic;
data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0);
@ -135,7 +135,7 @@ begin
sample_state_r <= ANY_SAMPLE_STATE;
instance_handle_r <= HANDLE_NIL;
max_samples_r <= (others => '0');
instance_handle_out_w <= HANDLE_NIL;
instance_handle_in_w <= HANDLE_NIL;
source_ts_w <= TIME_INVALID;
max_wait_w <= DURATION_ZERO;
ready_in_w <= '0'; -- DDS Writer Input is unused

View File

@ -315,12 +315,12 @@ begin
start_w => open,
ack_w => '0',
opcode_w => open,
instance_handle_out_w => open,
instance_handle_out_w => HANDLE_NIL,
source_ts_w => open,
max_wait_w => open,
done_w => '0',
return_code_w => (others => '0'),
instance_handle_in_w => HANDLE_NIL,
instance_handle_in_w => open,
valid_out_w => open,
ready_out_w => '0',
data_out_w => open,
@ -362,12 +362,12 @@ begin
start_dds => open,
ack_dds => '0',
opcode_dds => open,
instance_handle_out_dds => open,
instance_handle_out_dds => HANDLE_NIL,
source_ts_dds => open,
max_wait_dds => open,
done_dds => '0',
return_code_dds => (others => '0'),
instance_handle_in_dds => HANDLE_NIL,
instance_handle_in_dds => open,
valid_out_dds => open,
ready_out_dds => '0',
data_out_dds => open,

View File

@ -312,12 +312,12 @@ begin
start_w => open,
ack_w => '0',
opcode_w => open,
instance_handle_out_w => open,
instance_handle_out_w => HANDLE_NIL,
source_ts_w => open,
max_wait_w => open,
done_w => '0',
return_code_w => (others => '0'),
instance_handle_in_w => HANDLE_NIL,
instance_handle_in_w => open,
valid_out_w => open,
ready_out_w => '0',
data_out_w => open,
@ -359,12 +359,12 @@ begin
start_dds => open,
ack_dds => '0',
opcode_dds => open,
instance_handle_out_dds => open,
instance_handle_out_dds => HANDLE_NIL,
source_ts_dds => open,
max_wait_dds => open,
done_dds => '0',
return_code_dds => (others => '0'),
instance_handle_in_dds => HANDLE_NIL,
instance_handle_in_dds => open,
valid_out_dds => open,
ready_out_dds => '0',
data_out_dds => open,

View File

@ -81,12 +81,12 @@ begin
start_w => open,--
ack_w => '1',--
opcode_w => open,
instance_handle_out_w => open,
instance_handle_out_w => HANDLE_NIL,
source_ts_w => open,
max_wait_w => open,
done_w => '1',--
return_code_w => return_code_cw,
instance_handle_in_w => HANDLE_NIL,
instance_handle_in_w => open,
valid_out_w => valid_rq,--
ready_out_w => ready_cw,--ready_rq,--
data_out_w => data_rq,--
@ -137,12 +137,12 @@ begin
start_w => open,--
ack_w => '1',--
opcode_w => open,
instance_handle_out_w => open,
instance_handle_out_w => HANDLE_NIL,
source_ts_w => open,
max_wait_w => open,
done_w => '1',--
return_code_w => return_code_sw,--
instance_handle_in_w => HANDLE_NIL,
instance_handle_in_w => open,
valid_out_w => valid_rr,--
ready_out_w => ready_sw,--ready_rr,--
data_out_w => data_rr,--

View File

@ -84,12 +84,12 @@ begin
start_w => open,--
ack_w => '1',--
opcode_w => open,
instance_handle_out_w => open,
instance_handle_out_w => HANDLE_NIL,
source_ts_w => open,
max_wait_w => open,
done_w => '1',--
return_code_w => return_code_cw,
instance_handle_in_w => HANDLE_NIL,
instance_handle_in_w => open,
valid_out_w => valid_rq,--
ready_out_w => ready_cw,--ready_rq,--
data_out_w => data_rq,--
@ -143,12 +143,12 @@ begin
start_w => open,--
ack_w => '1',--
opcode_w => open,
instance_handle_out_w => open,
instance_handle_out_w => HANDLE_NIL,
source_ts_w => open,
max_wait_w => open,
done_w => '1',--
return_code_w => return_code_sw,--
instance_handle_in_w => HANDLE_NIL,
instance_handle_in_w => open,
valid_out_w => valid_rr,--
ready_out_w => ready_sw,--ready_rr,--
data_out_w => data_rr,--

View File

@ -90,12 +90,12 @@ begin
start_w => open,--
ack_w => '1',--
opcode_w => open,
instance_handle_out_w => open,
instance_handle_out_w => HANDLE_NIL,
source_ts_w => open,
max_wait_w => open,
done_w => '1',--
return_code_w => return_code_cw,
instance_handle_in_w => HANDLE_NIL,
instance_handle_in_w => open,
valid_out_w => valid_rq,--
ready_out_w => ready_cw,--ready_rq,--
data_out_w => data_rq,--
@ -154,12 +154,12 @@ begin
start_w => open,--
ack_w => '1',--
opcode_w => open,
instance_handle_out_w => open,
instance_handle_out_w => HANDLE_NIL,
source_ts_w => open,
max_wait_w => open,
done_w => '1',--
return_code_w => return_code_sw,--
instance_handle_in_w => HANDLE_NIL,
instance_handle_in_w => open,
valid_out_w => valid_rr,--
ready_out_w => ready_sw,--ready_rr,--
data_out_w => data_rr,--

View File

@ -93,12 +93,12 @@ begin
start_w => open,--
ack_w => '1',--
opcode_w => open,
instance_handle_out_w => open,
instance_handle_out_w => HANDLE_NIL,
source_ts_w => open,
max_wait_w => open,
done_w => '1',--
return_code_w => return_code_cw,
instance_handle_in_w => HANDLE_NIL,
instance_handle_in_w => open,
valid_out_w => valid_rq,--
ready_out_w => ready_cw,--ready_rq,--
data_out_w => data_rq,--
@ -160,12 +160,12 @@ begin
start_w => open,--
ack_w => '1',--
opcode_w => open,
instance_handle_out_w => open,
instance_handle_out_w => HANDLE_NIL,
source_ts_w => open,
max_wait_w => open,
done_w => '1',--
return_code_w => return_code_sw,--
instance_handle_in_w => HANDLE_NIL,
instance_handle_in_w => open,
valid_out_w => valid_rr,--
ready_out_w => ready_sw,--ready_rr,--
data_out_w => data_rr,--

View File

@ -106,12 +106,12 @@ begin
start_dds => open,--
ack_dds => '1',--
opcode_dds => open,
instance_handle_out_dds => open,
instance_handle_out_dds => HANDLE_NIL,
source_ts_dds => open,
max_wait_dds => open,
done_dds => '1',--
return_code_dds => return_code_w,--
instance_handle_in_dds => HANDLE_NIL,
instance_handle_in_dds => open,
valid_out_dds => valid,--
ready_out_dds => ready_w,--ready,--
data_out_dds => data,--

View File

@ -109,12 +109,12 @@ begin
start_dds => open,--
ack_dds => '1',--
opcode_dds => open,
instance_handle_out_dds => open,
instance_handle_out_dds => HANDLE_NIL,
source_ts_dds => open,
max_wait_dds => open,
done_dds => '1',--
return_code_dds => return_code_w,--
instance_handle_in_dds => HANDLE_NIL,
instance_handle_in_dds => open,
valid_out_dds => valid,--
ready_out_dds => ready_w,--ready,--
data_out_dds => data,--

View File

@ -85,12 +85,12 @@ begin
start_w => open,--
ack_w => '1',--
opcode_w => open,
instance_handle_out_w => open,
instance_handle_out_w => HANDLE_NIL,
source_ts_w => open,
max_wait_w => open,
done_w => '1',--
return_code_w => return_code_cw,
instance_handle_in_w => HANDLE_NIL,
instance_handle_in_w => open,
valid_out_w => valid_rq,--
ready_out_w => ready_cw,--ready_rq,--
data_out_w => data_rq,--
@ -142,12 +142,12 @@ begin
start_w => open,--
ack_w => '1',--
opcode_w => open,
instance_handle_out_w => open,
instance_handle_out_w => HANDLE_NIL,
source_ts_w => open,
max_wait_w => open,
done_w => '1',--
return_code_w => return_code_sw,--
instance_handle_in_w => HANDLE_NIL,
instance_handle_in_w => open,
valid_out_w => valid_rr,--
ready_out_w => ready_sw,--ready_rr,--
data_out_w => data_rr,--

View File

@ -88,12 +88,12 @@ begin
start_w => open,--
ack_w => '1',--
opcode_w => open,
instance_handle_out_w => open,
instance_handle_out_w => HANDLE_NIL,
source_ts_w => open,
max_wait_w => open,
done_w => '1',--
return_code_w => return_code_cw,
instance_handle_in_w => HANDLE_NIL,
instance_handle_in_w => open,
valid_out_w => valid_rq,--
ready_out_w => ready_cw,--ready_rq,--
data_out_w => data_rq,--
@ -148,12 +148,12 @@ begin
start_w => open,--
ack_w => '1',--
opcode_w => open,
instance_handle_out_w => open,
instance_handle_out_w => HANDLE_NIL,
source_ts_w => open,
max_wait_w => open,
done_w => '1',--
return_code_w => return_code_sw,--
instance_handle_in_w => HANDLE_NIL,
instance_handle_in_w => open,
valid_out_w => valid_rr,--
ready_out_w => ready_sw,--ready_rr,--
data_out_w => data_rr,--

View File

@ -88,12 +88,12 @@ begin
start_w => open,--
ack_w => '1',--
opcode_w => open,
instance_handle_out_w => open,
instance_handle_out_w => HANDLE_NIL,
source_ts_w => open,
max_wait_w => open,
done_w => '1',--
return_code_w => return_code_cw,
instance_handle_in_w => HANDLE_NIL,
instance_handle_in_w => open,
valid_out_w => valid_rq,--
ready_out_w => ready_cw,--ready_rq,--
data_out_w => data_rq,--
@ -150,12 +150,12 @@ begin
start_w => open,--
ack_w => '1',--
opcode_w => open,
instance_handle_out_w => open,
instance_handle_out_w => HANDLE_NIL,
source_ts_w => open,
max_wait_w => open,
done_w => '1',--
return_code_w => return_code_sw,--
instance_handle_in_w => HANDLE_NIL,
instance_handle_in_w => open,
valid_out_w => valid_rr,--
ready_out_w => ready_sw,--ready_rr,--
data_out_w => data_rr,--

View File

@ -88,12 +88,12 @@ begin
start_w => open,--
ack_w => '1',--
opcode_w => open,
instance_handle_out_w => open,
instance_handle_out_w => HANDLE_NIL,
source_ts_w => open,
max_wait_w => open,
done_w => '1',--
return_code_w => return_code_cw,
instance_handle_in_w => HANDLE_NIL,
instance_handle_in_w => open,
valid_out_w => valid_rq,--
ready_out_w => ready_cw,--ready_rq,--
data_out_w => data_rq,--
@ -150,12 +150,12 @@ begin
start_w => open,--
ack_w => '1',--
opcode_w => open,
instance_handle_out_w => open,
instance_handle_out_w => HANDLE_NIL,
source_ts_w => open,
max_wait_w => open,
done_w => '1',--
return_code_w => return_code_sw,--
instance_handle_in_w => HANDLE_NIL,
instance_handle_in_w => open,
valid_out_w => valid_rr,--
ready_out_w => ready_sw,--ready_rr,--
data_out_w => data_rr,--

View File

@ -106,12 +106,12 @@ begin
g_start_w => open,
g_ack_w => '1',
g_opcode_w => open,
g_instance_handle_out_w => open,
g_instance_handle_out_w => HANDLE_NIL,
g_source_ts_w => open,
g_max_wait_w => open,
g_done_w => '1',
g_return_code_w => RETCODE_OK,
g_instance_handle_in_w => HANDLE_NIL,
g_instance_handle_in_w => open,
g_valid_out_w => valid_gw,
g_ready_out_w => ready_gw,
g_data_out_w => data_gw,
@ -144,12 +144,12 @@ begin
r_start_w => open,
r_ack_w => '1',
r_opcode_w => open,
r_instance_handle_out_w => open,
r_instance_handle_out_w => HANDLE_NIL,
r_source_ts_w => open,
r_max_wait_w => open,
r_done_w => '1',
r_return_code_w => RETCODE_OK,
r_instance_handle_in_w => HANDLE_NIL,
r_instance_handle_in_w => open,
r_valid_out_w => valid_rw,
r_ready_out_w => ready_rw,
r_data_out_w => data_rw,
@ -182,12 +182,12 @@ begin
c_start_w => open,
c_ack_w => '1',
c_opcode_w => open,
c_instance_handle_out_w => open,
c_instance_handle_out_w => HANDLE_NIL,
c_source_ts_w => open,
c_max_wait_w => open,
c_done_w => '1',
c_return_code_w => RETCODE_OK,
c_instance_handle_in_w => HANDLE_NIL,
c_instance_handle_in_w => open,
c_valid_out_w => valid_cw,
c_ready_out_w => ready_cw,
c_data_out_w => data_cw,
@ -302,12 +302,12 @@ begin
g_start_w => open,
g_ack_w => '1',
g_opcode_w => open,
g_instance_handle_out_w => open,
g_instance_handle_out_w => HANDLE_NIL,
g_source_ts_w => open,
g_max_wait_w => open,
g_done_w => '1',
g_return_code_w => RETCODE_OK,
g_instance_handle_in_w => HANDLE_NIL,
g_instance_handle_in_w => open,
g_valid_out_w => valid_gr,
g_ready_out_w => ready_gr,
g_data_out_w => data_gr,
@ -340,12 +340,12 @@ begin
r_start_w => open,
r_ack_w => '1',
r_opcode_w => open,
r_instance_handle_out_w => open,
r_instance_handle_out_w => HANDLE_NIL,
r_source_ts_w => open,
r_max_wait_w => open,
r_done_w => '1',
r_return_code_w => RETCODE_OK,
r_instance_handle_in_w => HANDLE_NIL,
r_instance_handle_in_w => open,
r_valid_out_w => valid_rr,
r_ready_out_w => ready_rr,
r_data_out_w => data_rr,
@ -378,12 +378,12 @@ begin
c_start_w => open,
c_ack_w => '1',
c_opcode_w => open,
c_instance_handle_out_w => open,
c_instance_handle_out_w => HANDLE_NIL,
c_source_ts_w => open,
c_max_wait_w => open,
c_done_w => '1',
c_return_code_w => RETCODE_OK,
c_instance_handle_in_w => HANDLE_NIL,
c_instance_handle_in_w => open,
c_valid_out_w => valid_cr,
c_ready_out_w => ready_cr,
c_data_out_w => data_cr,
@ -396,12 +396,12 @@ begin
f_start_dds => open,
f_ack_dds => '1',
f_opcode_dds => open,
f_instance_handle_out_dds => open,
f_instance_handle_out_dds => HANDLE_NIL,
f_source_ts_dds => open,
f_max_wait_dds => open,
f_done_dds => '1',
f_return_code_dds => RETCODE_OK,
f_instance_handle_in_dds => HANDLE_NIL,
f_instance_handle_in_dds => open,
f_valid_out_dds => valid_f,
f_ready_out_dds => ready_f,
f_data_out_dds => data_f,
@ -414,12 +414,12 @@ begin
s_start_dds => open,
s_ack_dds => '1',
s_opcode_dds => open,
s_instance_handle_out_dds => open,
s_instance_handle_out_dds => HANDLE_NIL,
s_source_ts_dds => open,
s_max_wait_dds => open,
s_done_dds => '1',
s_return_code_dds => RETCODE_OK,
s_instance_handle_in_dds => HANDLE_NIL,
s_instance_handle_in_dds => open,
s_valid_out_dds => open,
s_ready_out_dds => '1',
s_data_out_dds => open,

View File

@ -109,12 +109,12 @@ begin
g_start_w => open,
g_ack_w => '1',
g_opcode_w => open,
g_instance_handle_out_w => open,
g_instance_handle_out_w => HANDLE_NIL,
g_source_ts_w => open,
g_max_wait_w => open,
g_done_w => '1',
g_return_code_w => RETCODE_OK,
g_instance_handle_in_w => HANDLE_NIL,
g_instance_handle_in_w => open,
g_valid_out_w => valid_gw,
g_ready_out_w => ready_gw,
g_data_out_w => data_gw,
@ -147,12 +147,12 @@ begin
r_start_w => open,
r_ack_w => '1',
r_opcode_w => open,
r_instance_handle_out_w => open,
r_instance_handle_out_w => HANDLE_NIL,
r_source_ts_w => open,
r_max_wait_w => open,
r_done_w => '1',
r_return_code_w => RETCODE_OK,
r_instance_handle_in_w => HANDLE_NIL,
r_instance_handle_in_w => open,
r_valid_out_w => valid_rw,
r_ready_out_w => ready_rw,
r_data_out_w => data_rw,
@ -185,12 +185,12 @@ begin
c_start_w => open,
c_ack_w => '1',
c_opcode_w => open,
c_instance_handle_out_w => open,
c_instance_handle_out_w => HANDLE_NIL,
c_source_ts_w => open,
c_max_wait_w => open,
c_done_w => '1',
c_return_code_w => RETCODE_OK,
c_instance_handle_in_w => HANDLE_NIL,
c_instance_handle_in_w => open,
c_valid_out_w => valid_cw,
c_ready_out_w => ready_cw,
c_data_out_w => data_cw,
@ -306,12 +306,12 @@ begin
g_start_w => open,
g_ack_w => '1',
g_opcode_w => open,
g_instance_handle_out_w => open,
g_instance_handle_out_w => HANDLE_NIL,
g_source_ts_w => open,
g_max_wait_w => open,
g_done_w => '1',
g_return_code_w => RETCODE_OK,
g_instance_handle_in_w => HANDLE_NIL,
g_instance_handle_in_w => open,
g_valid_out_w => valid_gr,
g_ready_out_w => ready_gr,
g_data_out_w => data_gr,
@ -344,12 +344,12 @@ begin
r_start_w => open,
r_ack_w => '1',
r_opcode_w => open,
r_instance_handle_out_w => open,
r_instance_handle_out_w => HANDLE_NIL,
r_source_ts_w => open,
r_max_wait_w => open,
r_done_w => '1',
r_return_code_w => RETCODE_OK,
r_instance_handle_in_w => HANDLE_NIL,
r_instance_handle_in_w => open,
r_valid_out_w => valid_rr,
r_ready_out_w => ready_rr,
r_data_out_w => data_rr,
@ -382,12 +382,12 @@ begin
c_start_w => open,
c_ack_w => '1',
c_opcode_w => open,
c_instance_handle_out_w => open,
c_instance_handle_out_w => HANDLE_NIL,
c_source_ts_w => open,
c_max_wait_w => open,
c_done_w => '1',
c_return_code_w => RETCODE_OK,
c_instance_handle_in_w => HANDLE_NIL,
c_instance_handle_in_w => open,
c_valid_out_w => valid_cr,
c_ready_out_w => ready_cr,
c_data_out_w => data_cr,
@ -400,12 +400,12 @@ begin
f_start_dds => open,
f_ack_dds => '1',
f_opcode_dds => open,
f_instance_handle_out_dds => open,
f_instance_handle_out_dds => HANDLE_NIL,
f_source_ts_dds => open,
f_max_wait_dds => open,
f_done_dds => '1',
f_return_code_dds => RETCODE_OK,
f_instance_handle_in_dds => HANDLE_NIL,
f_instance_handle_in_dds => open,
f_valid_out_dds => valid_f,
f_ready_out_dds => ready_f,
f_data_out_dds => data_f,
@ -418,12 +418,12 @@ begin
s_start_dds => open,
s_ack_dds => '1',
s_opcode_dds => open,
s_instance_handle_out_dds => open,
s_instance_handle_out_dds => HANDLE_NIL,
s_source_ts_dds => open,
s_max_wait_dds => open,
s_done_dds => '1',
s_return_code_dds => RETCODE_OK,
s_instance_handle_in_dds => HANDLE_NIL,
s_instance_handle_in_dds => open,
s_valid_out_dds => open,
s_ready_out_dds => '1',
s_data_out_dds => open,

View File

@ -108,12 +108,12 @@ begin
start_dds => open,--
ack_dds => '1',--
opcode_dds => open,
instance_handle_out_dds => open,
instance_handle_out_dds => HANDLE_NIL,
source_ts_dds => open,
max_wait_dds => open,
done_dds => '1',--
return_code_dds => return_code_w,--
instance_handle_in_dds => HANDLE_NIL,
instance_handle_in_dds => open,
valid_out_dds => valid,--
ready_out_dds => ready_w,--ready,--
data_out_dds => data,--

View File

@ -111,12 +111,12 @@ begin
start_dds => open,--
ack_dds => '1',--
opcode_dds => open,
instance_handle_out_dds => open,
instance_handle_out_dds => HANDLE_NIL,
source_ts_dds => open,
max_wait_dds => open,
done_dds => '1',--
return_code_dds => return_code_w,--
instance_handle_in_dds => HANDLE_NIL,
instance_handle_in_dds => open,
valid_out_dds => valid,--
ready_out_dds => ready_w,--ready,--
data_out_dds => data,--

View File

@ -42,12 +42,12 @@ entity AddTwoInts_ros_srv_client is
start_w : out std_logic;
ack_w : in std_logic;
opcode_w : out DDS_WRITER_OPCODE_TYPE;
instance_handle_out_w : out INSTANCE_HANDLE_TYPE;
instance_handle_in_w : out INSTANCE_HANDLE_TYPE;
source_ts_w : out TIME_TYPE;
max_wait_w : out DURATION_TYPE;
done_w : in std_logic;
return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0);
instance_handle_in_w : in INSTANCE_HANDLE_TYPE;
instance_handle_out_w : in INSTANCE_HANDLE_TYPE;
valid_out_w : out std_logic;
ready_out_w : in std_logic;
data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0);
@ -132,7 +132,7 @@ begin
sample_state_r <= ANY_SAMPLE_STATE;
instance_handle_r <= HANDLE_NIL;
max_samples_r <= (others => '0');
instance_handle_out_w <= HANDLE_NIL;
instance_handle_in_w <= HANDLE_NIL;
source_ts_w <= TIME_INVALID;
max_wait_w <= DURATION_ZERO;
ready_in_w <= '0'; -- DDS Writer Input is unused

View File

@ -42,12 +42,12 @@ entity AddTwoInts_ros_srv_server is
start_w : out std_logic;
ack_w : in std_logic;
opcode_w : out DDS_WRITER_OPCODE_TYPE;
instance_handle_out_w : out INSTANCE_HANDLE_TYPE;
instance_handle_in_w : out INSTANCE_HANDLE_TYPE;
source_ts_w : out TIME_TYPE;
max_wait_w : out DURATION_TYPE;
done_w : in std_logic;
return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0);
instance_handle_in_w : in INSTANCE_HANDLE_TYPE;
instance_handle_out_w : in INSTANCE_HANDLE_TYPE;
valid_out_w : out std_logic;
ready_out_w : in std_logic;
data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0);
@ -132,7 +132,7 @@ begin
sample_state_r <= ANY_SAMPLE_STATE;
instance_handle_r <= HANDLE_NIL;
max_samples_r <= (others => '0');
instance_handle_out_w <= HANDLE_NIL;
instance_handle_in_w <= HANDLE_NIL;
source_ts_w <= TIME_INVALID;
max_wait_w <= DURATION_ZERO;
ready_in_w <= '0'; -- DDS Writer Input is unused

View File

@ -49,12 +49,12 @@ entity Fibonacci_ros_action_client is
g_start_w : out std_logic;
g_ack_w : in std_logic;
g_opcode_w : out DDS_WRITER_OPCODE_TYPE;
g_instance_handle_out_w : out INSTANCE_HANDLE_TYPE;
g_instance_handle_in_w : out INSTANCE_HANDLE_TYPE;
g_source_ts_w : out TIME_TYPE;
g_max_wait_w : out DURATION_TYPE;
g_done_w : in std_logic;
g_return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0);
g_instance_handle_in_w : in INSTANCE_HANDLE_TYPE;
g_instance_handle_out_w : in INSTANCE_HANDLE_TYPE;
g_valid_out_w : out std_logic;
g_ready_out_w : in std_logic;
g_data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0);
@ -90,12 +90,12 @@ entity Fibonacci_ros_action_client is
r_start_w : out std_logic;
r_ack_w : in std_logic;
r_opcode_w : out DDS_WRITER_OPCODE_TYPE;
r_instance_handle_out_w : out INSTANCE_HANDLE_TYPE;
r_instance_handle_in_w : out INSTANCE_HANDLE_TYPE;
r_source_ts_w : out TIME_TYPE;
r_max_wait_w : out DURATION_TYPE;
r_done_w : in std_logic;
r_return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0);
r_instance_handle_in_w : in INSTANCE_HANDLE_TYPE;
r_instance_handle_out_w : in INSTANCE_HANDLE_TYPE;
r_valid_out_w : out std_logic;
r_ready_out_w : in std_logic;
r_data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0);
@ -131,12 +131,12 @@ entity Fibonacci_ros_action_client is
c_start_w : out std_logic;
c_ack_w : in std_logic;
c_opcode_w : out DDS_WRITER_OPCODE_TYPE;
c_instance_handle_out_w : out INSTANCE_HANDLE_TYPE;
c_instance_handle_in_w : out INSTANCE_HANDLE_TYPE;
c_source_ts_w : out TIME_TYPE;
c_max_wait_w : out DURATION_TYPE;
c_done_w : in std_logic;
c_return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0);
c_instance_handle_in_w : in INSTANCE_HANDLE_TYPE;
c_instance_handle_out_w : in INSTANCE_HANDLE_TYPE;
c_valid_out_w : out std_logic;
c_ready_out_w : in std_logic;
c_data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0);

View File

@ -22,12 +22,12 @@ entity Fibonacci_ros_action_feedback_pub is
start_dds : out std_logic;
ack_dds : in std_logic;
opcode_dds : out DDS_WRITER_OPCODE_TYPE;
instance_handle_out_dds : out INSTANCE_HANDLE_TYPE;
instance_handle_in_dds : out INSTANCE_HANDLE_TYPE;
source_ts_dds : out TIME_TYPE;
max_wait_dds : out DURATION_TYPE;
done_dds : in std_logic;
return_code_dds : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0);
instance_handle_in_dds : in INSTANCE_HANDLE_TYPE;
instance_handle_out_dds : in INSTANCE_HANDLE_TYPE;
valid_out_dds : out std_logic;
ready_out_dds : in std_logic;
data_out_dds : out std_logic_vector(WORD_WIDTH-1 downto 0);
@ -117,7 +117,7 @@ begin
-- ###GENERATED END###
-- PASSTHROUGH
instance_handle_out_dds <= HANDLE_NIL;
instance_handle_in_dds <= HANDLE_NIL;
source_ts_dds <= TIME_INVALID;
max_wait_dds <= DURATION_ZERO;
ready_in_dds <= '0'; -- DDS Writer Input is unused

View File

@ -43,12 +43,12 @@ entity Fibonacci_ros_action_goal_srv_client is
start_w : out std_logic;
ack_w : in std_logic;
opcode_w : out DDS_WRITER_OPCODE_TYPE;
instance_handle_out_w : out INSTANCE_HANDLE_TYPE;
instance_handle_in_w : out INSTANCE_HANDLE_TYPE;
source_ts_w : out TIME_TYPE;
max_wait_w : out DURATION_TYPE;
done_w : in std_logic;
return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0);
instance_handle_in_w : in INSTANCE_HANDLE_TYPE;
instance_handle_out_w : in INSTANCE_HANDLE_TYPE;
valid_out_w : out std_logic;
ready_out_w : in std_logic;
data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0);
@ -138,7 +138,7 @@ begin
sample_state_r <= ANY_SAMPLE_STATE;
instance_handle_r <= HANDLE_NIL;
max_samples_r <= (others => '0');
instance_handle_out_w <= HANDLE_NIL;
instance_handle_in_w <= HANDLE_NIL;
source_ts_w <= TIME_INVALID;
max_wait_w <= DURATION_ZERO;
ready_in_w <= '0'; -- DDS Writer Input is unused

View File

@ -44,12 +44,12 @@ entity Fibonacci_ros_action_goal_srv_server is
start_w : out std_logic;
ack_w : in std_logic;
opcode_w : out DDS_WRITER_OPCODE_TYPE;
instance_handle_out_w : out INSTANCE_HANDLE_TYPE;
instance_handle_in_w : out INSTANCE_HANDLE_TYPE;
source_ts_w : out TIME_TYPE;
max_wait_w : out DURATION_TYPE;
done_w : in std_logic;
return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0);
instance_handle_in_w : in INSTANCE_HANDLE_TYPE;
instance_handle_out_w : in INSTANCE_HANDLE_TYPE;
valid_out_w : out std_logic;
ready_out_w : in std_logic;
data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0);
@ -139,7 +139,7 @@ begin
sample_state_r <= ANY_SAMPLE_STATE;
instance_handle_r <= HANDLE_NIL;
max_samples_r <= (others => '0');
instance_handle_out_w <= HANDLE_NIL;
instance_handle_in_w <= HANDLE_NIL;
source_ts_w <= TIME_INVALID;
max_wait_w <= DURATION_ZERO;
ready_in_w <= '0'; -- DDS Writer Input is unused

View File

@ -43,12 +43,12 @@ entity Fibonacci_ros_action_result_srv_client is
start_w : out std_logic;
ack_w : in std_logic;
opcode_w : out DDS_WRITER_OPCODE_TYPE;
instance_handle_out_w : out INSTANCE_HANDLE_TYPE;
instance_handle_in_w : out INSTANCE_HANDLE_TYPE;
source_ts_w : out TIME_TYPE;
max_wait_w : out DURATION_TYPE;
done_w : in std_logic;
return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0);
instance_handle_in_w : in INSTANCE_HANDLE_TYPE;
instance_handle_out_w : in INSTANCE_HANDLE_TYPE;
valid_out_w : out std_logic;
ready_out_w : in std_logic;
data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0);
@ -166,7 +166,7 @@ begin
sample_state_r <= ANY_SAMPLE_STATE;
instance_handle_r <= HANDLE_NIL;
max_samples_r <= (others => '0');
instance_handle_out_w <= HANDLE_NIL;
instance_handle_in_w <= HANDLE_NIL;
source_ts_w <= TIME_INVALID;
max_wait_w <= DURATION_ZERO;
ready_in_w <= '0'; -- DDS Writer Input is unused

View File

@ -43,12 +43,12 @@ entity Fibonacci_ros_action_result_srv_server is
start_w : out std_logic;
ack_w : in std_logic;
opcode_w : out DDS_WRITER_OPCODE_TYPE;
instance_handle_out_w : out INSTANCE_HANDLE_TYPE;
instance_handle_in_w : out INSTANCE_HANDLE_TYPE;
source_ts_w : out TIME_TYPE;
max_wait_w : out DURATION_TYPE;
done_w : in std_logic;
return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0);
instance_handle_in_w : in INSTANCE_HANDLE_TYPE;
instance_handle_out_w : in INSTANCE_HANDLE_TYPE;
valid_out_w : out std_logic;
ready_out_w : in std_logic;
data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0);
@ -167,7 +167,7 @@ begin
sample_state_r <= ANY_SAMPLE_STATE;
instance_handle_r <= HANDLE_NIL;
max_samples_r <= (others => '0');
instance_handle_out_w <= HANDLE_NIL;
instance_handle_in_w <= HANDLE_NIL;
source_ts_w <= TIME_INVALID;
max_wait_w <= DURATION_ZERO;
ready_in_w <= '0'; -- DDS Writer Input is unused

View File

@ -52,12 +52,12 @@ entity Fibonacci_ros_action_server is
g_start_w : out std_logic;
g_ack_w : in std_logic;
g_opcode_w : out DDS_WRITER_OPCODE_TYPE;
g_instance_handle_out_w : out INSTANCE_HANDLE_TYPE;
g_instance_handle_in_w : out INSTANCE_HANDLE_TYPE;
g_source_ts_w : out TIME_TYPE;
g_max_wait_w : out DURATION_TYPE;
g_done_w : in std_logic;
g_return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0);
g_instance_handle_in_w : in INSTANCE_HANDLE_TYPE;
g_instance_handle_out_w : in INSTANCE_HANDLE_TYPE;
g_valid_out_w : out std_logic;
g_ready_out_w : in std_logic;
g_data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0);
@ -93,12 +93,12 @@ entity Fibonacci_ros_action_server is
r_start_w : out std_logic;
r_ack_w : in std_logic;
r_opcode_w : out DDS_WRITER_OPCODE_TYPE;
r_instance_handle_out_w : out INSTANCE_HANDLE_TYPE;
r_instance_handle_in_w : out INSTANCE_HANDLE_TYPE;
r_source_ts_w : out TIME_TYPE;
r_max_wait_w : out DURATION_TYPE;
r_done_w : in std_logic;
r_return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0);
r_instance_handle_in_w : in INSTANCE_HANDLE_TYPE;
r_instance_handle_out_w : in INSTANCE_HANDLE_TYPE;
r_valid_out_w : out std_logic;
r_ready_out_w : in std_logic;
r_data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0);
@ -134,12 +134,12 @@ entity Fibonacci_ros_action_server is
c_start_w : out std_logic;
c_ack_w : in std_logic;
c_opcode_w : out DDS_WRITER_OPCODE_TYPE;
c_instance_handle_out_w : out INSTANCE_HANDLE_TYPE;
c_instance_handle_in_w : out INSTANCE_HANDLE_TYPE;
c_source_ts_w : out TIME_TYPE;
c_max_wait_w : out DURATION_TYPE;
c_done_w : in std_logic;
c_return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0);
c_instance_handle_in_w : in INSTANCE_HANDLE_TYPE;
c_instance_handle_out_w : in INSTANCE_HANDLE_TYPE;
c_valid_out_w : out std_logic;
c_ready_out_w : in std_logic;
c_data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0);
@ -153,12 +153,12 @@ entity Fibonacci_ros_action_server is
f_start_dds : out std_logic;
f_ack_dds : in std_logic;
f_opcode_dds : out DDS_WRITER_OPCODE_TYPE;
f_instance_handle_out_dds : out INSTANCE_HANDLE_TYPE;
f_instance_handle_in_dds : out INSTANCE_HANDLE_TYPE;
f_source_ts_dds : out TIME_TYPE;
f_max_wait_dds : out DURATION_TYPE;
f_done_dds : in std_logic;
f_return_code_dds : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0);
f_instance_handle_in_dds : in INSTANCE_HANDLE_TYPE;
f_instance_handle_out_dds : in INSTANCE_HANDLE_TYPE;
f_valid_out_dds : out std_logic;
f_ready_out_dds : in std_logic;
f_data_out_dds : out std_logic_vector(WORD_WIDTH-1 downto 0);
@ -172,12 +172,12 @@ entity Fibonacci_ros_action_server is
s_start_dds : out std_logic;
s_ack_dds : in std_logic;
s_opcode_dds : out DDS_WRITER_OPCODE_TYPE;
s_instance_handle_out_dds : out INSTANCE_HANDLE_TYPE;
s_instance_handle_in_dds : out INSTANCE_HANDLE_TYPE;
s_source_ts_dds : out TIME_TYPE;
s_max_wait_dds : out DURATION_TYPE;
s_done_dds : in std_logic;
s_return_code_dds : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0);
s_instance_handle_in_dds : in INSTANCE_HANDLE_TYPE;
s_instance_handle_out_dds : in INSTANCE_HANDLE_TYPE;
s_valid_out_dds : out std_logic;
s_ready_out_dds : in std_logic;
s_data_out_dds : out std_logic_vector(WORD_WIDTH-1 downto 0);
@ -554,7 +554,7 @@ begin
else generate
f_start_dds <= '0';
f_opcode_dds <= NOP;
f_instance_handle_out_dds <= HANDLE_NIL;
f_instance_handle_in_dds <= HANDLE_NIL;
f_source_ts_dds <= TIME_INVALID;
f_max_wait_dds <= DURATION_ZERO;
f_valid_out_dds <= '0';

View File

@ -43,12 +43,12 @@ entity CancelGoal_ros_srv_client is
start_w : out std_logic;
ack_w : in std_logic;
opcode_w : out DDS_WRITER_OPCODE_TYPE;
instance_handle_out_w : out INSTANCE_HANDLE_TYPE;
instance_handle_in_w : out INSTANCE_HANDLE_TYPE;
source_ts_w : out TIME_TYPE;
max_wait_w : out DURATION_TYPE;
done_w : in std_logic;
return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0);
instance_handle_in_w : in INSTANCE_HANDLE_TYPE;
instance_handle_out_w : in INSTANCE_HANDLE_TYPE;
valid_out_w : out std_logic;
ready_out_w : in std_logic;
data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0);
@ -195,7 +195,7 @@ begin
sample_state_r <= ANY_SAMPLE_STATE;
instance_handle_r <= HANDLE_NIL;
max_samples_r <= (others => '0');
instance_handle_out_w <= HANDLE_NIL;
instance_handle_in_w <= HANDLE_NIL;
source_ts_w <= TIME_INVALID;
max_wait_w <= DURATION_ZERO;
ready_in_w <= '0'; -- DDS Writer Input is unused

View File

@ -43,12 +43,12 @@ entity CancelGoal_ros_srv_server is
start_w : out std_logic;
ack_w : in std_logic;
opcode_w : out DDS_WRITER_OPCODE_TYPE;
instance_handle_out_w : out INSTANCE_HANDLE_TYPE;
instance_handle_in_w : out INSTANCE_HANDLE_TYPE;
source_ts_w : out TIME_TYPE;
max_wait_w : out DURATION_TYPE;
done_w : in std_logic;
return_code_w : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0);
instance_handle_in_w : in INSTANCE_HANDLE_TYPE;
instance_handle_out_w : in INSTANCE_HANDLE_TYPE;
valid_out_w : out std_logic;
ready_out_w : in std_logic;
data_out_w : out std_logic_vector(WORD_WIDTH-1 downto 0);
@ -197,7 +197,7 @@ begin
sample_state_r <= ANY_SAMPLE_STATE;
instance_handle_r <= HANDLE_NIL;
max_samples_r <= (others => '0');
instance_handle_out_w <= HANDLE_NIL;
instance_handle_in_w <= HANDLE_NIL;
source_ts_w <= TIME_INVALID;
max_wait_w <= DURATION_ZERO;
ready_in_w <= '0'; -- DDS Writer Input is unused

View File

@ -22,12 +22,12 @@ entity GoalStatusArray_ros_pub is
start_dds : out std_logic;
ack_dds : in std_logic;
opcode_dds : out DDS_WRITER_OPCODE_TYPE;
instance_handle_out_dds : out INSTANCE_HANDLE_TYPE;
instance_handle_in_dds : out INSTANCE_HANDLE_TYPE;
source_ts_dds : out TIME_TYPE;
max_wait_dds : out DURATION_TYPE;
done_dds : in std_logic;
return_code_dds : in std_logic_vector(RETURN_CODE_WIDTH-1 downto 0);
instance_handle_in_dds : in INSTANCE_HANDLE_TYPE;
instance_handle_out_dds : in INSTANCE_HANDLE_TYPE;
valid_out_dds : out std_logic;
ready_out_dds : in std_logic;
data_out_dds : out std_logic_vector(WORD_WIDTH-1 downto 0);
@ -168,7 +168,7 @@ begin
-- ###GENERATED END###
-- PASSTHROUGH
instance_handle_out_dds <= HANDLE_NIL;
instance_handle_in_dds <= HANDLE_NIL;
source_ts_dds <= TIME_INVALID;
max_wait_dds <= DURATION_ZERO;
ready_in_dds <= '0'; -- DDS Writer Input is unused