Remove TRANSPORT_PRIORITY_QOS
According to RTPS Specification, the TRANSPORT_PRIORITY_QOS is only for Topic Data.
This commit is contained in:
parent
6d609a5a34
commit
3a1aeb818e
@ -111,8 +111,6 @@ package user_config is
|
|||||||
constant ENDPOINT_RELIABILITY_QOS : USER_ENUMERATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_RELIABILITY_QOS);
|
constant ENDPOINT_RELIABILITY_QOS : USER_ENUMERATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_RELIABILITY_QOS);
|
||||||
-- (Only relevant to Writers)
|
-- (Only relevant to Writers)
|
||||||
constant ENDPOINT_MAX_BLOCKING_TIME : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_MAX_BLOCKING_TIME);
|
constant ENDPOINT_MAX_BLOCKING_TIME : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_MAX_BLOCKING_TIME);
|
||||||
-- Array mapping TRANSPORT_PRIORITY QoS to Endpoints (Only relevant to Writers)
|
|
||||||
constant ENDPOINT_TRANSPORT_PRIORITY_QOS : USER_LONG_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_TRANSPORT_PRIORITY_QOS);
|
|
||||||
-- Array mapping LIFESPAN QoS to Endpoints (Only relevant to Writers)
|
-- Array mapping LIFESPAN QoS to Endpoints (Only relevant to Writers)
|
||||||
constant ENDPOINT_LIFESPAN_QOS : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_LIFESPAN_QOS);
|
constant ENDPOINT_LIFESPAN_QOS : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_LIFESPAN_QOS);
|
||||||
-- Array mapping DESTINATION_ORDER QoS to Endpoints
|
-- Array mapping DESTINATION_ORDER QoS to Endpoints
|
||||||
|
|||||||
@ -108,8 +108,6 @@ package user_config is
|
|||||||
constant ENDPOINT_RELIABILITY_QOS : USER_ENUMERATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_RELIABILITY_QOS);
|
constant ENDPOINT_RELIABILITY_QOS : USER_ENUMERATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_RELIABILITY_QOS);
|
||||||
-- (Only relevant to Writers)
|
-- (Only relevant to Writers)
|
||||||
constant ENDPOINT_MAX_BLOCKING_TIME : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_MAX_BLOCKING_TIME);
|
constant ENDPOINT_MAX_BLOCKING_TIME : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_MAX_BLOCKING_TIME);
|
||||||
-- Array mapping TRANSPORT_PRIORITY QoS to Endpoints (Only relevant to Writers)
|
|
||||||
constant ENDPOINT_TRANSPORT_PRIORITY_QOS : USER_LONG_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_TRANSPORT_PRIORITY_QOS);
|
|
||||||
-- Array mapping LIFESPAN QoS to Endpoints (Only relevant to Writers)
|
-- Array mapping LIFESPAN QoS to Endpoints (Only relevant to Writers)
|
||||||
constant ENDPOINT_LIFESPAN_QOS : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_LIFESPAN_QOS);
|
constant ENDPOINT_LIFESPAN_QOS : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_LIFESPAN_QOS);
|
||||||
-- Array mapping DESTINATION_ORDER QoS to Endpoints
|
-- Array mapping DESTINATION_ORDER QoS to Endpoints
|
||||||
|
|||||||
@ -110,8 +110,6 @@ package user_config is
|
|||||||
constant ENDPOINT_RELIABILITY_QOS : USER_ENUMERATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_RELIABILITY_QOS);
|
constant ENDPOINT_RELIABILITY_QOS : USER_ENUMERATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_RELIABILITY_QOS);
|
||||||
-- (Only relevant to Writers)
|
-- (Only relevant to Writers)
|
||||||
constant ENDPOINT_MAX_BLOCKING_TIME : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_MAX_BLOCKING_TIME);
|
constant ENDPOINT_MAX_BLOCKING_TIME : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_MAX_BLOCKING_TIME);
|
||||||
-- Array mapping TRANSPORT_PRIORITY QoS to Endpoints (Only relevant to Writers)
|
|
||||||
constant ENDPOINT_TRANSPORT_PRIORITY_QOS : USER_LONG_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_TRANSPORT_PRIORITY_QOS);
|
|
||||||
-- Array mapping LIFESPAN QoS to Endpoints (Only relevant to Writers)
|
-- Array mapping LIFESPAN QoS to Endpoints (Only relevant to Writers)
|
||||||
constant ENDPOINT_LIFESPAN_QOS : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_LIFESPAN_QOS);
|
constant ENDPOINT_LIFESPAN_QOS : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_LIFESPAN_QOS);
|
||||||
-- Array mapping DESTINATION_ORDER QoS to Endpoints
|
-- Array mapping DESTINATION_ORDER QoS to Endpoints
|
||||||
|
|||||||
@ -107,8 +107,6 @@ package user_config is
|
|||||||
constant ENDPOINT_RELIABILITY_QOS : USER_ENUMERATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_RELIABILITY_QOS);
|
constant ENDPOINT_RELIABILITY_QOS : USER_ENUMERATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_RELIABILITY_QOS);
|
||||||
-- (Only relevant to Writers)
|
-- (Only relevant to Writers)
|
||||||
constant ENDPOINT_MAX_BLOCKING_TIME : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_MAX_BLOCKING_TIME);
|
constant ENDPOINT_MAX_BLOCKING_TIME : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_MAX_BLOCKING_TIME);
|
||||||
-- Array mapping TRANSPORT_PRIORITY QoS to Endpoints (Only relevant to Writers)
|
|
||||||
constant ENDPOINT_TRANSPORT_PRIORITY_QOS : USER_LONG_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_TRANSPORT_PRIORITY_QOS);
|
|
||||||
-- Array mapping LIFESPAN QoS to Endpoints (Only relevant to Writers)
|
-- Array mapping LIFESPAN QoS to Endpoints (Only relevant to Writers)
|
||||||
constant ENDPOINT_LIFESPAN_QOS : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_LIFESPAN_QOS);
|
constant ENDPOINT_LIFESPAN_QOS : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_LIFESPAN_QOS);
|
||||||
-- Array mapping DESTINATION_ORDER QoS to Endpoints
|
-- Array mapping DESTINATION_ORDER QoS to Endpoints
|
||||||
|
|||||||
@ -107,8 +107,6 @@ package user_config is
|
|||||||
constant ENDPOINT_RELIABILITY_QOS : USER_ENUMERATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_RELIABILITY_QOS);
|
constant ENDPOINT_RELIABILITY_QOS : USER_ENUMERATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_RELIABILITY_QOS);
|
||||||
-- (Only relevant to Writers)
|
-- (Only relevant to Writers)
|
||||||
constant ENDPOINT_MAX_BLOCKING_TIME : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_MAX_BLOCKING_TIME);
|
constant ENDPOINT_MAX_BLOCKING_TIME : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_MAX_BLOCKING_TIME);
|
||||||
-- Array mapping TRANSPORT_PRIORITY QoS to Endpoints (Only relevant to Writers)
|
|
||||||
constant ENDPOINT_TRANSPORT_PRIORITY_QOS : USER_LONG_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_TRANSPORT_PRIORITY_QOS);
|
|
||||||
-- Array mapping LIFESPAN QoS to Endpoints (Only relevant to Writers)
|
-- Array mapping LIFESPAN QoS to Endpoints (Only relevant to Writers)
|
||||||
constant ENDPOINT_LIFESPAN_QOS : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_LIFESPAN_QOS);
|
constant ENDPOINT_LIFESPAN_QOS : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_LIFESPAN_QOS);
|
||||||
-- Array mapping DESTINATION_ORDER QoS to Endpoints
|
-- Array mapping DESTINATION_ORDER QoS to Endpoints
|
||||||
|
|||||||
@ -193,11 +193,6 @@ package user_config is
|
|||||||
NUM_READERS+7 => gen_duration(2,0),
|
NUM_READERS+7 => gen_duration(2,0),
|
||||||
others => DEFAULT_MAX_BLOCKING_TIME
|
others => DEFAULT_MAX_BLOCKING_TIME
|
||||||
);
|
);
|
||||||
-- Array mapping TRANSPORT_PRIORITY QoS to Endpoints (Only relevant to Writers)
|
|
||||||
constant ENDPOINT_TRANSPORT_PRIORITY_QOS : USER_LONG_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (
|
|
||||||
NUM_READERS+7 => std_logic_vector(to_unsigned(3, CDR_LONG_WIDTH)),
|
|
||||||
others => DEFAULT_TRANSPORT_PRIORITY_QOS
|
|
||||||
);
|
|
||||||
-- Array mapping LIFESPAN QoS to Endpoints (Only relevant to Writers)
|
-- Array mapping LIFESPAN QoS to Endpoints (Only relevant to Writers)
|
||||||
constant ENDPOINT_LIFESPAN_QOS : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (
|
constant ENDPOINT_LIFESPAN_QOS : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (
|
||||||
NUM_READERS+7 => gen_duration(30,0),
|
NUM_READERS+7 => gen_duration(30,0),
|
||||||
|
|||||||
@ -194,7 +194,6 @@ package body rtps_config_package is
|
|||||||
assert (ENDPOINT_TIME_BASED_FILTER_QOS'length = NUM_ENDPOINTS) report "Endpoint arrays have to be NUM_ENDPOINTS long" severity failure;
|
assert (ENDPOINT_TIME_BASED_FILTER_QOS'length = NUM_ENDPOINTS) report "Endpoint arrays have to be NUM_ENDPOINTS long" severity failure;
|
||||||
assert (ENDPOINT_RELIABILITY_QOS'length = NUM_ENDPOINTS) report "Endpoint arrays have to be NUM_ENDPOINTS long" severity failure;
|
assert (ENDPOINT_RELIABILITY_QOS'length = NUM_ENDPOINTS) report "Endpoint arrays have to be NUM_ENDPOINTS long" severity failure;
|
||||||
assert (ENDPOINT_MAX_BLOCKING_TIME'length = NUM_ENDPOINTS) report "Endpoint arrays have to be NUM_ENDPOINTS long" severity failure;
|
assert (ENDPOINT_MAX_BLOCKING_TIME'length = NUM_ENDPOINTS) report "Endpoint arrays have to be NUM_ENDPOINTS long" severity failure;
|
||||||
assert (ENDPOINT_TRANSPORT_PRIORITY_QOS'length = NUM_ENDPOINTS) report "Endpoint arrays have to be NUM_ENDPOINTS long" severity failure;
|
|
||||||
assert (ENDPOINT_LIFESPAN_QOS'length = NUM_ENDPOINTS) report "Endpoint arrays have to be NUM_ENDPOINTS long" severity failure;
|
assert (ENDPOINT_LIFESPAN_QOS'length = NUM_ENDPOINTS) report "Endpoint arrays have to be NUM_ENDPOINTS long" severity failure;
|
||||||
assert (ENDPOINT_DESTINATION_ORDER_QOS'length = NUM_ENDPOINTS) report "Endpoint arrays have to be NUM_ENDPOINTS long" severity failure;
|
assert (ENDPOINT_DESTINATION_ORDER_QOS'length = NUM_ENDPOINTS) report "Endpoint arrays have to be NUM_ENDPOINTS long" severity failure;
|
||||||
assert (ENDPOINT_HISTORY_QOS'length = NUM_ENDPOINTS) report "Endpoint arrays have to be NUM_ENDPOINTS long" severity failure;
|
assert (ENDPOINT_HISTORY_QOS'length = NUM_ENDPOINTS) report "Endpoint arrays have to be NUM_ENDPOINTS long" severity failure;
|
||||||
@ -717,13 +716,6 @@ package body rtps_config_package is
|
|||||||
len := len + 1;
|
len := len + 1;
|
||||||
ret.data(ind+len) := std_logic_vector(ENDPOINT_MAX_BLOCKING_TIME(i)(1));
|
ret.data(ind+len) := std_logic_vector(ENDPOINT_MAX_BLOCKING_TIME(i)(1));
|
||||||
end if;
|
end if;
|
||||||
-- TRANSPORT PRIORITY
|
|
||||||
if (ENDPOINT_TRANSPORT_PRIORITY_QOS(i) /= DEFAULT_TRANSPORT_PRIORITY_QOS) then
|
|
||||||
len := len + 1;
|
|
||||||
ret.data(ind+len) := PID_TRANSPORT_PRIORITY & std_logic_vector(to_unsigned(4, 16));
|
|
||||||
len := len + 1;
|
|
||||||
ret.data(ind+len) := ENDPOINT_TRANSPORT_PRIORITY_QOS(i);
|
|
||||||
end if;
|
|
||||||
-- LIFESPAN
|
-- LIFESPAN
|
||||||
if (ENDPOINT_LIFESPAN_QOS(i) /= DEFAULT_LIFESPAN_QOS) then
|
if (ENDPOINT_LIFESPAN_QOS(i) /= DEFAULT_LIFESPAN_QOS) then
|
||||||
len := len + 1;
|
len := len + 1;
|
||||||
@ -849,13 +841,6 @@ package body rtps_config_package is
|
|||||||
ret.length := ret.length + 1;
|
ret.length := ret.length + 1;
|
||||||
ret.data(ret.length) := std_logic_vector(ENDPOINT_MAX_BLOCKING_TIME(id)(1));
|
ret.data(ret.length) := std_logic_vector(ENDPOINT_MAX_BLOCKING_TIME(id)(1));
|
||||||
end if;
|
end if;
|
||||||
-- TRANSPORT PRIORITY
|
|
||||||
if (ENDPOINT_TRANSPORT_PRIORITY_QOS(id) /= DEFAULT_TRANSPORT_PRIORITY_QOS) then
|
|
||||||
ret.length := ret.length + 1;
|
|
||||||
ret.data(ret.length) := PID_TRANSPORT_PRIORITY & std_logic_vector(to_unsigned(4, 16));
|
|
||||||
ret.length := ret.length + 1;
|
|
||||||
ret.data(ret.length) := ENDPOINT_TRANSPORT_PRIORITY_QOS(id);
|
|
||||||
end if;
|
|
||||||
-- LIFESPAN
|
-- LIFESPAN
|
||||||
if (ENDPOINT_LIFESPAN_QOS(id) /= DEFAULT_LIFESPAN_QOS) then
|
if (ENDPOINT_LIFESPAN_QOS(id) /= DEFAULT_LIFESPAN_QOS) then
|
||||||
ret.length := ret.length + 1;
|
ret.length := ret.length + 1;
|
||||||
|
|||||||
@ -238,7 +238,6 @@ package rtps_test_package is
|
|||||||
time_based_filter : DURATION_TYPE;
|
time_based_filter : DURATION_TYPE;
|
||||||
reliability : std_logic_vector(CDR_ENUMERATION_WIDTH-1 downto 0);
|
reliability : std_logic_vector(CDR_ENUMERATION_WIDTH-1 downto 0);
|
||||||
max_blocking_time : DURATION_TYPE;
|
max_blocking_time : DURATION_TYPE;
|
||||||
transport_priority : std_logic_vector(CDR_LONG_WIDTH-1 downto 0);
|
|
||||||
lifespan : DURATION_TYPE;
|
lifespan : DURATION_TYPE;
|
||||||
destination_order : std_logic_vector(CDR_ENUMERATION_WIDTH-1 downto 0);
|
destination_order : std_logic_vector(CDR_ENUMERATION_WIDTH-1 downto 0);
|
||||||
expectsInlineQoS : std_logic_vector(CDR_BOOLEAN_WIDTH-1 downto 0);
|
expectsInlineQoS : std_logic_vector(CDR_BOOLEAN_WIDTH-1 downto 0);
|
||||||
@ -826,7 +825,6 @@ package body rtps_test_package is
|
|||||||
time_based_filter => DEFAULT_TIME_BASED_FILTER_QOS,
|
time_based_filter => DEFAULT_TIME_BASED_FILTER_QOS,
|
||||||
reliability => DEFAULT_RELIABILITY_QOS,
|
reliability => DEFAULT_RELIABILITY_QOS,
|
||||||
max_blocking_time => DEFAULT_MAX_BLOCKING_TIME,
|
max_blocking_time => DEFAULT_MAX_BLOCKING_TIME,
|
||||||
transport_priority => DEFAULT_TRANSPORT_PRIORITY_QOS,
|
|
||||||
lifespan => DEFAULT_LIFESPAN_QOS,
|
lifespan => DEFAULT_LIFESPAN_QOS,
|
||||||
destination_order => DEFAULT_DESTINATION_ORDER_QOS,
|
destination_order => DEFAULT_DESTINATION_ORDER_QOS,
|
||||||
user_data => EMPTY_STRING,
|
user_data => EMPTY_STRING,
|
||||||
@ -2468,21 +2466,6 @@ package body rtps_test_package is
|
|||||||
output.length := output.length + offset;
|
output.length := output.length + offset;
|
||||||
end if;
|
end if;
|
||||||
end if;
|
end if;
|
||||||
-- TRANSPORT PRIORITY
|
|
||||||
if (ref.transport_priority /= DEFAULT_TRANSPORT_PRIORITY_QOS or pid = PID_TRANSPORT_PRIORITY) then
|
|
||||||
if (pid = PID_TRANSPORT_PRIORITY) then
|
|
||||||
assert (4+(offset*4) >= 0) report "Parameter Length < 0" severity FAILURE;
|
|
||||||
output.data(output.length) := endian_swap(ref.littleEndian, PID_TRANSPORT_PRIORITY) & endian_swap(ref.littleEndian, int(4+(offset*4),PARAMETER_LENGTH_WIDTH));
|
|
||||||
else
|
|
||||||
output.data(output.length) := endian_swap(ref.littleEndian, PID_TRANSPORT_PRIORITY) & endian_swap(ref.littleEndian, int(4,PARAMETER_LENGTH_WIDTH));
|
|
||||||
end if;
|
|
||||||
output.length := output.length + 1;
|
|
||||||
output.data(output.length) := endian_swap(ref.littleEndian, ref.transport_priority);
|
|
||||||
output.length := output.length + 1;
|
|
||||||
if (pid = PID_TRANSPORT_PRIORITY) then
|
|
||||||
output.length := output.length + offset;
|
|
||||||
end if;
|
|
||||||
end if;
|
|
||||||
-- LIFESPAN
|
-- LIFESPAN
|
||||||
if (ref.lifespan /= DEFAULT_LIFESPAN_QOS or pid = PID_LIFESPAN) then
|
if (ref.lifespan /= DEFAULT_LIFESPAN_QOS or pid = PID_LIFESPAN) then
|
||||||
if (pid = PID_LIFESPAN) then
|
if (pid = PID_LIFESPAN) then
|
||||||
@ -2905,21 +2888,6 @@ package body rtps_test_package is
|
|||||||
output.length := output.length + offset;
|
output.length := output.length + offset;
|
||||||
end if;
|
end if;
|
||||||
end if;
|
end if;
|
||||||
-- TRANSPORT PRIORITY
|
|
||||||
if (endpoint.transport_priority /= DEFAULT_TRANSPORT_PRIORITY_QOS or pid = PID_TRANSPORT_PRIORITY) then
|
|
||||||
if (pid = PID_TRANSPORT_PRIORITY) then
|
|
||||||
assert (4+(offset*4) >= 0) report "Parameter Length < 0" severity FAILURE;
|
|
||||||
output.data(output.length) := endian_swap(littleEndian, PID_TRANSPORT_PRIORITY) & endian_swap(littleEndian, int(4+(offset*4),PARAMETER_LENGTH_WIDTH));
|
|
||||||
else
|
|
||||||
output.data(output.length) := endian_swap(littleEndian, PID_TRANSPORT_PRIORITY) & endian_swap(littleEndian, int(4,PARAMETER_LENGTH_WIDTH));
|
|
||||||
end if;
|
|
||||||
output.length := output.length + 1;
|
|
||||||
output.data(output.length) := endian_swap(littleEndian, endpoint.transport_priority);
|
|
||||||
output.length := output.length + 1;
|
|
||||||
if (pid = PID_TRANSPORT_PRIORITY) then
|
|
||||||
output.length := output.length + offset;
|
|
||||||
end if;
|
|
||||||
end if;
|
|
||||||
-- LIFESPAN
|
-- LIFESPAN
|
||||||
if (endpoint.lifespan /= DEFAULT_LIFESPAN_QOS or pid = PID_LIFESPAN) then
|
if (endpoint.lifespan /= DEFAULT_LIFESPAN_QOS or pid = PID_LIFESPAN) then
|
||||||
if (pid = PID_LIFESPAN) then
|
if (pid = PID_LIFESPAN) then
|
||||||
@ -3019,7 +2987,6 @@ package body rtps_test_package is
|
|||||||
ret.time_based_filter := ENDPOINT_TIME_BASED_FILTER_QOS(id);
|
ret.time_based_filter := ENDPOINT_TIME_BASED_FILTER_QOS(id);
|
||||||
ret.reliability := ENDPOINT_RELIABILITY_QOS(id);
|
ret.reliability := ENDPOINT_RELIABILITY_QOS(id);
|
||||||
ret.max_blocking_time := ENDPOINT_MAX_BLOCKING_TIME(id);
|
ret.max_blocking_time := ENDPOINT_MAX_BLOCKING_TIME(id);
|
||||||
ret.transport_priority := ENDPOINT_TRANSPORT_PRIORITY_QOS(id);
|
|
||||||
ret.lifespan := ENDPOINT_LIFESPAN_QOS(id);
|
ret.lifespan := ENDPOINT_LIFESPAN_QOS(id);
|
||||||
ret.destination_order := ENDPOINT_DESTINATION_ORDER_QOS(id);
|
ret.destination_order := ENDPOINT_DESTINATION_ORDER_QOS(id);
|
||||||
ret.expectsInlineQoS(0) := DEFAULT_EXPECTS_INLINE_QOS when (id < NUM_READERS) else '0';
|
ret.expectsInlineQoS(0) := DEFAULT_EXPECTS_INLINE_QOS when (id < NUM_READERS) else '0';
|
||||||
|
|||||||
@ -107,8 +107,6 @@ package user_config is
|
|||||||
constant ENDPOINT_RELIABILITY_QOS : USER_ENUMERATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_RELIABILITY_QOS);
|
constant ENDPOINT_RELIABILITY_QOS : USER_ENUMERATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_RELIABILITY_QOS);
|
||||||
-- (Only relevant to Writers)
|
-- (Only relevant to Writers)
|
||||||
constant ENDPOINT_MAX_BLOCKING_TIME : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_MAX_BLOCKING_TIME);
|
constant ENDPOINT_MAX_BLOCKING_TIME : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_MAX_BLOCKING_TIME);
|
||||||
-- Array mapping TRANSPORT_PRIORITY QoS to Endpoints (Only relevant to Writers)
|
|
||||||
constant ENDPOINT_TRANSPORT_PRIORITY_QOS : USER_LONG_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_TRANSPORT_PRIORITY_QOS);
|
|
||||||
-- Array mapping LIFESPAN QoS to Endpoints (Only relevant to Writers)
|
-- Array mapping LIFESPAN QoS to Endpoints (Only relevant to Writers)
|
||||||
constant ENDPOINT_LIFESPAN_QOS : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_LIFESPAN_QOS);
|
constant ENDPOINT_LIFESPAN_QOS : USER_DURATION_ARRAY_TYPE(0 to NUM_ENDPOINTS-1) := (others => DEFAULT_LIFESPAN_QOS);
|
||||||
-- Array mapping DESTINATION_ORDER QoS to Endpoints
|
-- Array mapping DESTINATION_ORDER QoS to Endpoints
|
||||||
|
|||||||
Loading…
Reference in New Issue
Block a user