* Add universal Test Result File for all Testbenches
This commit is contained in:
parent
32fd1ac31e
commit
e358244578
@ -492,8 +492,11 @@ begin
|
|||||||
start_test;
|
start_test;
|
||||||
wait_on_complete;
|
wait_on_complete;
|
||||||
|
|
||||||
|
TranscriptOpen(RESULTS_FILE, APPEND_MODE);
|
||||||
|
SetTranscriptMirror;
|
||||||
AlertIf(GetAffirmCount < check_cnt, "Incomplete test run");
|
AlertIf(GetAffirmCount < check_cnt, "Incomplete test run");
|
||||||
ReportAlerts;
|
ReportAlerts;
|
||||||
|
TranscriptClose;
|
||||||
std.env.stop;
|
std.env.stop;
|
||||||
wait;
|
wait;
|
||||||
end process;
|
end process;
|
||||||
@ -584,7 +587,7 @@ begin
|
|||||||
|
|
||||||
watchdog : process
|
watchdog : process
|
||||||
begin
|
begin
|
||||||
wait for 5 ms;
|
wait for 1 ms;
|
||||||
Alert("Test timeout", FAILURE);
|
Alert("Test timeout", FAILURE);
|
||||||
std.env.stop;
|
std.env.stop;
|
||||||
end process;
|
end process;
|
||||||
|
|||||||
@ -808,9 +808,12 @@ begin
|
|||||||
sub_s.data := EMPTY_TEST_PACKET;
|
sub_s.data := EMPTY_TEST_PACKET;
|
||||||
p2_sns := p2_sns + 1;
|
p2_sns := p2_sns + 1;
|
||||||
|
|
||||||
|
TranscriptOpen(RESULTS_FILE, APPEND_MODE);
|
||||||
|
SetTranscriptMirror;
|
||||||
stim_done <= '1';
|
stim_done <= '1';
|
||||||
wait until check_done = '1';
|
wait until check_done = '1';
|
||||||
ReportAlerts;
|
ReportAlerts;
|
||||||
|
TranscriptClose;
|
||||||
std.env.stop;
|
std.env.stop;
|
||||||
wait;
|
wait;
|
||||||
end process;
|
end process;
|
||||||
|
|||||||
@ -1300,8 +1300,11 @@ begin
|
|||||||
stimulus := EMPTY_TEST_PACKET;
|
stimulus := EMPTY_TEST_PACKET;
|
||||||
reference := EMPTY_TEST_PACKET;
|
reference := EMPTY_TEST_PACKET;
|
||||||
|
|
||||||
|
TranscriptOpen(RESULTS_FILE, APPEND_MODE);
|
||||||
|
SetTranscriptMirror;
|
||||||
AlertIf(GetAffirmCount < check_cnt, "Incomplete test run");
|
AlertIf(GetAffirmCount < check_cnt, "Incomplete test run");
|
||||||
ReportAlerts;
|
ReportAlerts;
|
||||||
|
TranscriptClose;
|
||||||
std.env.stop;
|
std.env.stop;
|
||||||
wait;
|
wait;
|
||||||
end process;
|
end process;
|
||||||
|
|||||||
@ -238,8 +238,11 @@ begin
|
|||||||
end loop;
|
end loop;
|
||||||
end loop;
|
end loop;
|
||||||
|
|
||||||
|
TranscriptOpen(RESULTS_FILE, APPEND_MODE);
|
||||||
|
SetTranscriptMirror;
|
||||||
AlertIf(GetAffirmCount < check_cnt, "Incomplete test run");
|
AlertIf(GetAffirmCount < check_cnt, "Incomplete test run");
|
||||||
ReportAlerts;
|
ReportAlerts;
|
||||||
|
TranscriptClose;
|
||||||
std.env.stop;
|
std.env.stop;
|
||||||
wait;
|
wait;
|
||||||
end process;
|
end process;
|
||||||
|
|||||||
@ -12,6 +12,8 @@ use work.rtps_config_package.all;
|
|||||||
|
|
||||||
package rtps_test_package is
|
package rtps_test_package is
|
||||||
|
|
||||||
|
constant RESULTS_FILE : string := "./Test_Results.txt";
|
||||||
|
|
||||||
constant DEFAULT_GUIDPREFIX : GUIDPREFIX_TYPE; -- Deferred to Package Body
|
constant DEFAULT_GUIDPREFIX : GUIDPREFIX_TYPE; -- Deferred to Package Body
|
||||||
constant DEFAULT_ENTITYID : std_logic_vector(ENTITYID_WIDTH-1 downto 0); -- Deferred to Package Body
|
constant DEFAULT_ENTITYID : std_logic_vector(ENTITYID_WIDTH-1 downto 0); -- Deferred to Package Body
|
||||||
|
|
||||||
|
|||||||
Loading…
Reference in New Issue
Block a user