rtps-fpga/src/md5_calculator.vhd
Greek 27aa801e74 Add Level 1 Test 1 of TYPE2 key_holder
The writer_wrapper is used to push normal payloads, and the serialized
key is hardcoded and compared to the output.
2021-11-07 16:19:31 +01:00

21 lines
594 B
VHDL

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity md5_calculator is
port (
clk : in std_logic;
reset : in std_logic;
start : in std_logic;
ack : out std_logic;
data_in : in std_logic_vector(7 downto 0);
valid_in : in std_logic;
ready_in : out std_logic;
last_word_in : in std_logic;
hash_out : out std_logic_vector(127 downto 0);
done : out std_logic
);
end entity;